=== PERANCANGAN RANGKAIAN KOMBINASIONAL ===

Ukuran: px
Mulai penontonan dengan halaman:

Download "=== PERANCANGAN RANGKAIAN KOMBINASIONAL ==="

Transkripsi

1 TKNIK IITL === PRNNN RNKIN KOMINSIONL === Rangkaian logika atau igital apat ibagi menjai 2 bagian yaitu:. Rangkaian Kombinasional, aalah suatu rangkaian logika yang keaaan keluarannya hanya ipengaruhi oleh keaaan masukannya saja. Masukan Rangkaian Kombinasi Keluaran 2. Rangkaian Sekuensial, aalah rangkaian logika yang keaaan keluarannya ipengaruhi oleh konisi masukan an konisi rangkaian saat itu. Variabel Masukan Keaaan sekarang Rangkaian Sekuensial Keluaran Keaaan selanjutnya eberapa rangkaian kombinasional yang biasa igunakan aalah multiplexer, emultiplexer, encoer, ecoer, half aer, full aer, half substractor, full substractor, comparator, river, converter, an lain-lain. Langkah-langkah alam perancangan rangkaian kombinasional:. Penjabaran ie. 2. Menentukan jumlah variabel masukan an keluaran yang ibutuhkan. 3. Mengimplementasikan ie ke alam tabel kebenaran. 4. Penyeerhanaan fungsi oolean. 5. Implementasikan ke alam rangkaian logika. ontoh : Perancangan pengatur suhu paa suatu ruangan prouksi. Langkah : Penjabaran ie Untuk menjaga suhu suatu ruangan prouksi i suatu inustri iperlukan sistem alarm. Konisi normal temperatur (T) alam ruangan tersebut aalah 2, tekanan (P) 5 atm an kelembaban () %. Sistem alarm akan berbunyi bila temperatur < 2 an tekanan < 5 atm serta kelembaban > %, atau < 2 an tekanan > 5 atm serta kelembaban < %, atau > 2 an tekanan < 5 atm serta kelembaban > %, atau > 2 an tekanan > 5 atm serta kelembaban < %. Sistem alarm tersebut igunakan oleh komputer sebagai sinyal masukan untuk mengembalikan konisi ruangan menjai konisi normal kembali. Langkah 2: Jumlah variabel masukan an keluaran yang ibutuhkan Nampak bahwa masukan aa 3 variabel yaitu temperatur (T), tekanan (P), kelembaban () an variabel keluaran yaitu konisi alarm untuk sistem alarm. Sehingga ibutuhkan 3 sensor sebagai masukan untuk meneteksi keaaan 3 variabel tersebut. Langkah 3: Mengimplementasikan ie ke alam tabel kebenaran imisalkan tabel kebenaran untuk sensor yaitu: a). Y = yang berarti alarm iam. b). Y = yang berarti alarm menyala. Teknik lektro U RLi orp.

2 TKNIK IITL Syarat agar alarm berbunyi: Konisi sensor Nilai Logika bekerja Temperatur ( T ) < 2 2 Tekanan ( P ) < 5 atm 5 atm Kelembaban ( ) < % % Konisi larm ( Y ) Temperatur ( T ) Tekanan ( P ) Kelembaban ( ) < 2 ( nilai = ) < 5 atm ( nilai = ) % ( nilai = ) < 2 ( nilai = ) 5 atm ( nilai = ) < % ( nilai = ) 2 ( nilai = ) < 5 atm ( nilai = ) % ( nilai = ) 2 ( nilai = ) 5 atm ( nilai = ) < % ( nilai = ) Selain konisi i atas, nilai logika alarm ( Y ) aalah, maka tabel kebenaran apat ibuat untuk 3 variabel masukan an variabel keluaran. Tabel kebenaran: No. T P larm ( Y ) Langkah 4: Penyeerhanaan fungsi alarm TP T P T P T P T P P P Y(T,P,) = P + P Langkah 5: Implementasikan ke alam rangkaian logika P lok iagram P lat Kenali Suhu Ruangan Prouksi Y Teknik lektro U RLi orp. 2

3 TKNIK IITL ontoh 2: Perancangan fungsi matematik (x) = 3x + ; x = {,,2,3}. Langkah : Penjabaran ie kan irancang sebuah fungsi matematik (x) = 3x +, engan nilai x ibatasi paa x =,, 2, an 3 saja, maka ie tersebut apat ibuat alam sebuah tabel sebagai berikut: x (x) Langkah 2: Jumlah variabel masukan an keluaran yang ibutuhkan Nampak paa tabel bahwa nilai x an (x) menggunakan sistem bilangan esimal, karena itu ibutuhkan konversi sistem bilangan esimal ke sistem bilangan biner. Nilai masukan x maksimum 3, apat iwakili oleh 2 variabel biner x an x 2 (karena 2 2 = 4) seangkan nilai keluaran (x) maksimum apat iwakili oleh 4 variabel (karena 2 4 = 6 > ), jai 2 variabel masukan x an x 2 serta empat variabel keluaran yang ibutuhkan. Langkah 3: Mengimplementasikan ie ke alam tabel kebenaran erasarkan ata paa keua langkah i atas, maka apat ibuat tabel kebenaran yang baru, yaitu sebagai berikut: esimal Masukan iner Keluaran iner x x x 2 (x) Langkah 4: Penyeerhanaan fungsi alam bentuk SOP (setelah iseerhanakan). = x x 2 ; = x x 2 + x x 2 ; = x x 2 + x x 2 ; = x x 2 + x x 2 Langkah 5: Implementasi ke alam rangkaian logika x x 2 x x 2 lok iagram x 2 x (x) = 3x + Teknik lektro U RLi orp. 3

4 TKNIK IITL Komparator Komparator aalah rangkaian logika yang berfungsi untuk membaningkan keaaan logika input-inputnya. Jenis komparator biner teriri ari: ). Non-quality omparator Rangkaian logika yang memberikan keaaan keluarannya tinggi jika keaaan masukan-masukannya berbea. Tabel Kebenaran: X = + erasarkan tabel kebenaran apat ibuat persamaan keluarannya: a). entuk SOP X = + atau X(,) = m (,2) b). entuk POS X = ( + ) ( + ) atau X(,) = M (,3) pabila ilakukan operasi komplemen gana an memberlakukan teorema e Morgan, maka apat iperoleh suatu bentuk gerbang NN an NOR. a). entuk NN iapat engan cara sebagai berikut: X = + X X. b). entuk NOR iapat engan cara sebagai berikut: X = ( + ) ( + ) X ( )( ) X ( ) ( ) Rangkaian non-equality comparator apat iimplementasikan pula engan gerbang X-OR, engan persamaan logikanya X = Simbolnya: X = + 2). quality omparator Rangkaian logika yang memberikan keaaan keluarannya tinggi jika keaaan masukan-masukannya sama. Tabel Kebenaran: X = + Teknik lektro U RLi orp. 4

5 TKNIK IITL erasarkan tabel kebenaran apat ibuat persamaan keluarannya: a). entuk SOP X = + atau X(,) = m (,3) b). entuk POS X = ( + ) ( + ) atau X(,) = M (,2) pabila ilakukan operasi komplemen gana an memberlakukan teorema e Morgan, maka apat iperoleh suatu bentuk gerbang NN an NOR. a). entuk NN iapat engan cara sebagai berikut: X = + X X. b). entuk NOR iapat engan cara sebagai berikut: X = ( + ) ( + ) X ( )( ) X ( ) ( ) Rangkaian equality comparator apat iimplementasikan pula engan gerbang X- NOR, engan persamaan logikanya X = Simbolnya: X = + X = + Setengah Penambah (Half er) Setengah penambah (Half er) merupakan suatu rangkaian penambah biner -bit atau rangkaian penjumlah yang tiak menyertakan bawaan sebelumnya (previous carry) paa masukannya. Untuk merancang rangkaian Half er (H) iperlukan tabel kebenaran penjumlahan -bit, sebagai berikut: Masukan Keluaran = + = simpan erasarkan tabel kebenaran an gerbang X-OR, maka = an =. Rangkaian Half er an blok iagramnya sebagai berikut: Perlu iingat gerbang X-OR, keluarannya bernilai bila jumlah logika bernilai paa masukannya ganjil. Half er Teknik lektro U RLi orp. 5

6 TKNIK IITL Penambah Penuh (ull er) Sekarang perhatikan persoalan penambah biner berikut: a) b) Paa contoh (a) masih bisa iselesaikan engan H untuk menambah biner. Tetapi paa contoh (b), suah tiak bisa iselesaikan engan H. Karena itu pula aturan lagi khususnya untuk hal + +. Hal ini menyatakan bahwa suatu H tiak akan bekerja bila muncul keaaan bawaan masuk. Karena itu iperlukan rangkaian baru yang isebut engan ull er (penambah penuh). Rangkaian mempunyai tiga masukan yang itambahkan an ua keluaran yaitu an o seperti paa tabel kebenaran berikut: Tabel Kebenaran ull er Masukan Keluaran in o + + n Sum Jawab keluar Kolom keluaran jumlah ( ) apat itulis sebagai berikut: = in in = bawaan masuk Kolom keluaran bawaan keluar o iseerhanakan engan cara K-map Teknik lektro U RLi orp. 6

7 TKNIK IITL in in in in o = = = Rangkaian an simbol lok itunjukkan oleh gambar i bawah ini menunjukan rangkaian yang ibuat ari ua buah H. Rangkaian Simbol lok Rangkaian Rangkaian yang ibuat ari ua buah H Penjumlahan Paralel Penambahan biner apat ikerjakan engan ua teknik yang berbea. Yaitu engan cara menambah seri (H an ) atau penambahan paralel (yang rangkaiannya akan ibuat) perhatikan proses penambahan berikut: Teknik lektro U RLi orp. 7

8 TKNIK IITL Jai semula + an bawaan keluar menjai bawaan masuk paa proses penambahan keua an 2 2 menjai bawaan masuk paa proses penambahan ketiga an 3 3 menjai bawaan masuk paa proses penambahan keempat an 4 4 menjai suatu overflow (luapan) erasarkan proses tersebut apat buat rangkaian penambah parallel 4-bit yang iilustrasikan paa gambar ibawah ini. Rangkaian penambah parallel 4-bit Rangkaian ini menggunakan sebuah H an untuk melakukan perhitungan aritmatik menstanarkan rangkaian an untuk melakukan perhitungan aritmatik yang kompleks, rangkaian tersebut iperbaharui engan menggunakan empat buah. Untuk membuat pertama beroperasi seperti H, maka masukan in paa pertama ibumikan (logika O). rangkaian yang baru ini akan beroperasi secara tepat seperti moel lama. ambar berikutnya aalah rangkaian penambah parallel 4-bit yang baru yaitu yang terbuat ari empat buah. Rangkaian penambah parallel 4-bit mengunakan semua Teknik lektro U RLi orp. 8

9 TKNIK IITL Multiplexer Multiplexer aalah rangkaian logika yang berfungsi untuk memilih salah satu ata masukan ari beberapa (n) ata, guna ikirimkan engan hanya melalui satu saluran keluaran saja. Multiplexer isebut juga sebagai T SLTOR, karena pemilihan informasi ilakukan oleh selektor (, 2,, n). ila banyaknya selektor yang igunakan aalah n- buah, maka jumlah maksimal ata yang akan ipilih aalah 2 n buah. lok iagram ari multiplexer sebagai berikut: 2 n Multiplexer Selektor Y 2 n ontoh: Paa multiplexer 4 to, untuk 4 ata yang akan ipilih iperlukan 2 selektor, karena 2 2 = 4. Tabel kebenaran an blok iagramnya sebagai berikut: Selektor ata ata yang terpilih 3 2 Y Multiplexer 4 to Selektor Y erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut: Y 2 3, untuk implementasi rangkaian logikanya aalah sebagai berikut: 2 Y 3 Teknik lektro U RLi orp. 9

10 TKNIK IITL Multiplexer nable Jenis multiplexer ini mempunyai masukan enable yang berguna untuk mengatur kerja ari unit. ila enable ( ) =, maka multiplexer bekerja normal. ila enable ( ) =, maka multiplexer tiak bekerja. ara kerja multiplexer ini nampak paa tabel kebenaran sebagai berikut: nable Selektor ata ata yang terpilih 3 2 Y erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut: Y 2 3, untuk implementasi rangkaian logikanya aalah sebagai berikut: 2 3 Multiplexer nable 4 to Selektor Y Y 2 3 emultiplexer emultiplexer aalah rangkaian logika yang berfungsi untuk menyalurkan satu ata biner ke beberapa keluaran, tetapi hanya satu keluaran yang terpilih yang apat menampung isi ata tersebut. emultiplexer merupakan kebalikan ari multiplexer. Teknik lektro U RLi orp.

11 TKNIK IITL ontoh: Paa emultiplexer to 4. Tabel kebenaran an blok iagramnya sebagai berikut: Selektor ata Keluaran Y 3 Y 2 Y Y erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut: Y, Y, Y2, Y3 untuk implementasi rangkaian logikanya aalah sebagai berikut: emultiplexer 4 to Selektor Y Y Y 2 Y 3 Y Y Y 2 Y 3 ekoer ekoer aalah rangkaian logika yang mengubah masukan koe n-bit ke m saluran, sehingga keluaran yang iaktifkan hanya satu. (2 n > m). lok iagramnya sebagai berikut: n - masukan n ekoer m m keluaran yang aktif hanya satu Teknik lektro U RLi orp.

12 TKNIK IITL ontoh: Paa ekoer 2 to 4. Tabel kebenaran an blok iagramnya sebagai berikut: Masukan Keluaran 3 2 ekoer 2 to erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut:. ;. ; 2. ; 3. untuk implementasi rangkaian logikanya aalah sebagai berikut: 2 3 ekoer nable ekoer enable aalah ekoer yang ilengkapi masukan enable yang berguna untuk mengatur kerja ari ekoer. ila enable ( ) =, maka ekoer iaktifkan. ila enable ( ) =, maka ekoer tiak aktif. ontoh: Paa ekoer enable 2 to 4. Tabel kebenaran an blok iagramnya sebagai berikut: Masukan Keluaran 3 2 ekoer nable 2 to Teknik lektro U RLi orp. 2

13 TKNIK IITL erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut:.. ;.. ; 2.. ; 3.., implementasi rangkaian logikanya aalah sebagai berikut: 2 3 abungan eberapa ekoer eberapa ekoer apat igabung sehingga menjai ekoer baru yang mempunyai jumlah keluaran lebih besar. Penggabungan ini apat ilakukan bila ekoernya memiliki enable. ontoh: Paa sebuah ekoer 3 to 8, yang terbuat ari 2 buah ekoer 2 to 4. Untuk membuat ekoer 3 to 8 iperlukan 3 buah masukan, maka tabel kebenarannya sebagai berikut: 2 ekoer 2 = ekoer 2 = nkoer nkoer aalah rangkaian logika yang menerima n masukan an m keluaran, sehingga hanya satu masukan saja yang iaktifkan paa setiap saat hanya satu. (2 n < m).. Teknik lektro U RLi orp. 3

14 TKNIK IITL lok iagramnya sebagai berikut: n masukan hanya satu saja yang boleh aktif n nkoer m m keluaran ontoh: Paa enkoer 4 to 2. Tabel kebenaran an blok iagramnya sebagai berikut: Masukan Keluaran nkoer 4 to 2 erasarkan tabel kebenaran maka apat iperoleh persamaan ooleannya sebagai berikut: = + 3 an = 2 + 3, untuk implementasi rangkaian logikanya aalah sebagai berikut: 3 2 river Rangkaian river aalah rangkaian yang mengubah ari sebuah koe (koe, koe ray, koe iner atau yang lainnya) ke sebuah koe 7- segment. Koe 7-segment aalah suatu koe yang teriri ari 7 ruas berupa Le yang irangkai untuk apat igunakan sebagai peraga bilangan esimal. ambar an penamaan setiap ruas ari koe 7-segment. Teknik lektro U RLi orp. 4

15 TKNIK IITL a) Sebagai peraga bilangan, b) Sebagai peraga bilangan 2, Le yang menyala :, Le yang menyala :,,,, c) Sebagai peraga bilangan 3, ) Sebagai peraga bilangan 4, Le yang menyala :,,,, Le yang menyala :,,, e) Sebagai peraga bilangan 5, f) Sebagai peraga bilangan 6, Le yang menyala :,,,, Le yang menyala :,,,, g) Sebagai peraga bilangan 7, h) Sebagai peraga bilangan 8, Le yang menyala :,, Le yang menyala :,,,,,, i) Sebagai peraga bilangan 9, j) Sebagai peraga bilangan, Le yang menyala :,,,,, Le yang menyala :,,,,, Teknik lektro U RLi orp. 5

16 TKNIK IITL Tabel koe ray ke koe 7-segment Tabel koe iner ke koe 7-segment es ray 7-Segment es iner 7-Segment N a b c e f g N a b c e f g Tabel koe xcess-3 ke koe 7-segment Tabel koe ke koe 7-segment es xcess-3 7-Segment es 7-Segment N a b c e f g N a b c e f g ontoh:. Pengubahan koe xcess-3 ke koe 7-segment erasarkan tabel koe xcess-3 ke koe 7-segment i atas, maka apat ibuat peta Karnaugh an persamaan oolean- nya sebagai berikut: Teknik lektro U RLi orp. 6

17 TKNIK IITL ungsi a : ungsi b : ungsi c : ungsi : ungsi e : ungsi g : ungsi f : 2. Pengubahan koe ke koe 7-segment erasarkan tabel koe ke koe 7-segment i atas, maka apat ibuat peta Karnaugh an persamaan oolean- nya sebagai berikut: Teknik lektro U RLi orp. 7

18 TKNIK IITL ungsi a : ungsi b : ungsi c : ungsi : ungsi e : ungsi f : ungsi g : Konverter Konverter aalah rangkaian yang mengubah ari suatu koe ke koe yang lainnya. ontoh pengubahan koe iner ke koe ray. Teknik lektro U RLi orp. 8

19 TKNIK IITL Tabel kebenaran : es iner ray N W X Y Z erasarkan tabel pengubahan koe iner ke koe ray i atas, maka apat ibuat peta Karnaugh an persamaan oolean- nya sebagai berikut: ungsi W : ungsi X : atau apat itulis ungsi Y : atau ungsi Z : atau Teknik lektro U RLi orp. 9

=== BENTUK KANONIK DAN BENTUK BAKU ===

=== BENTUK KANONIK DAN BENTUK BAKU === TEKNIK DIGITL === ENTUK KNONIK DN ENTUK KU === entuk Kanonik yaitu Fungsi oolean yang iekspresikan alam bentuk SOP atau POS engan minterm atau maxterm mempunyai literal yang lengkap. entuk aku yaitu Fungsi

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

BAB 3 MODEL DASAR DINAMIKA VIRUS HIV DALAM TUBUH

BAB 3 MODEL DASAR DINAMIKA VIRUS HIV DALAM TUBUH BAB 3 MODEL DASA DINAMIKA VIUS HIV DALAM TUBUH 3.1 Moel Dasar Moel asar inamika virus HIV alam tubuh menggunakan beberapa asumsi sebagai berikut: Mula-mula tubuh alam keaaan tiak terinfeksi virus atau

Lebih terperinci

PERCOBAAN 7. MULTILEVEL NOR

PERCOBAAN 7. MULTILEVEL NOR PETUNJUK PRKTIKUM ELEKTRONIK IGITL 1 PERON 7. TUJUN: Setelah menelesaikan percobaan ini mahasiswa diharapkan mampu Memahami sifat universal dari gerbang NOR Mengkonversikan sebuah rangkaian logika ang

Lebih terperinci

BAB III UJICOBA KALIBRASI KAMERA

BAB III UJICOBA KALIBRASI KAMERA BAB III UJICOBA KALIBRASI KAMERA 3.1 Spesifikasi kamera Kamera yang igunakan alam percobaan paa tugas akhir ini aalah kamera NIKON Coolpix 7900, engan spesifikasi sebagai berikut : Resolusi maksimum :

Lebih terperinci

DETEKSI API REAL-TIME DENGAN METODE THRESHOLDING RERATA RGB

DETEKSI API REAL-TIME DENGAN METODE THRESHOLDING RERATA RGB ISSN: 1693-6930 17 DETEKSI API REAL-TIME DENGAN METODE THRESHOLDING RERATA RGB Kartika Firausy, Yusron Saui, Tole Sutikno Program Stui Teknik Elektro, Fakultas Teknologi Inustri, Universitas Ahma Dahlan

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

BAB III PROSES PERANCANGAN DAN PERHITUNGAN

BAB III PROSES PERANCANGAN DAN PERHITUNGAN BB III PROSES PERNCNGN DN PERHITUNGN 3.1 Diagram alir penelitian MULI material ie an material aluminium yang iekstrusi Perancangan ie Proses pembuatan ie : 1. Pemotongan bahan 2. Pembuatan lubang port

Lebih terperinci

F = M a Oleh karena diameter pipa adalah konstan, maka kecepatan aliran di sepanjang pipa adalah konstan, sehingga percepatan adalah nol, d dr.

F = M a Oleh karena diameter pipa adalah konstan, maka kecepatan aliran di sepanjang pipa adalah konstan, sehingga percepatan adalah nol, d dr. Hukum Newton II : F = M a Oleh karena iameter pipa aalah konstan, maka kecepatan aliran i sepanjang pipa aalah konstan, sehingga percepatan aalah nol, rr rr( s) rs rs( r r) rrs sin o Bentuk tersebut apat

Lebih terperinci

Solusi Tutorial 6 Matematika 1A

Solusi Tutorial 6 Matematika 1A Solusi Tutorial 6 Matematika A Arif Nurwahi ) Pernyataan benar atau salah. a) Salah, sebab ln tiak terefinisi untuk 0. b) Betul. Seerhananya, titik belok apat ikatakan sebagai lokasi perubahan kecekungan.

Lebih terperinci

MAKALAH TUGAS AKHIR DIMENSI METRIK PADA PENGEMBANGAN GRAPH KINCIR DENGAN POLA K 1 + mk n

MAKALAH TUGAS AKHIR DIMENSI METRIK PADA PENGEMBANGAN GRAPH KINCIR DENGAN POLA K 1 + mk n MAKALAH TUGAS AKHIR DIMENSI METRIK PADA PENGEMBANGAN GRAPH KINCIR DENGAN POLA K 1 + mk n Oleh : JOHANES ARIF PURWONO 105 100 00 Pembimbing : Drs. Suhu Wahyui, MSi 131 651 47 ABSTRAK Graph aalah suatu sistem

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

METODE PENELITIAN Data Langkah-Langkah Penelitian

METODE PENELITIAN Data Langkah-Langkah Penelitian METODE PENELITIAN Data Inonesia merupakan salah satu negara yang tiak mempunyai ata vital statistik yang lengkap. Dengan memperhatikan hal tersebut, sangat tepat menggunakan Moel CPA untuk mengukur tingkat

Lebih terperinci

dan E 3 = 3 Tetapi integral garis dari keping A ke keping D harus nol, karena keduanya memiliki potensial yang sama akibat dihubungkan oleh kawat.

dan E 3 = 3 Tetapi integral garis dari keping A ke keping D harus nol, karena keduanya memiliki potensial yang sama akibat dihubungkan oleh kawat. E 3 E 1 -σ 3 σ 3 σ 1 1 a Namakan keping paling atas aalah keping A, keping keua ari atas aalah keping B, keping ketiga ari atas aalah keping C an keping paling bawah aalah keping D E 2 muatan bawah keping

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

Ax b Cx d dan dua persamaan linier yang dapat ditentukan solusinya x Ax b dan Ax b. Pada sistem Ax b Cx d solusi akan

Ax b Cx d dan dua persamaan linier yang dapat ditentukan solusinya x Ax b dan Ax b. Pada sistem Ax b Cx d solusi akan SOLUSI SISTEM PERSAMAAN LINIER PADA ALJABAR MAX-PLUS Bui Cahyono Peniikan Matematika, FSAINSTEK, Universitas Walisongo Semarang bui_oplang@yahoo.com Abstrak Dalam kehiupan sehari-hari seringkali kita menapatkan

Lebih terperinci

PROGRAM KOMPUTER UNTUK PEMODELAN SEBARAN PERGERAKAN. Abstrak

PROGRAM KOMPUTER UNTUK PEMODELAN SEBARAN PERGERAKAN. Abstrak PROGRAM KOMPUTER UNTUK PEMODELAN SEBARAN PERGERAKAN Ruy Setiawan, ST., MT. Sukanto Tejokusuma, Ir., M.Sc. Jenny Purwonegoro, ST. Staf Pengajar Fakultas Staf Pengajar Fakultas Alumni Fakultas Teknik Sipil

Lebih terperinci

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian kombinasional aritmetika Ada 3 jenis Adder : Rangkaian Adder

Lebih terperinci

Kombinasi Gaya Tekan dan Lentur

Kombinasi Gaya Tekan dan Lentur Mata Kuliah Koe SKS : Perancangan Struktur Beton : CIV-204 : 3 SKS Kombinasi Gaya Tekan an Lentur Pertemuan 9,10,11 Sub Pokok Bahasan : Analisis an Desain Kolom Penek Kolom aalah salah satu komponen struktur

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

Arus Melingkar (Circular Flow) dalam Perekonomian 2 Sektor

Arus Melingkar (Circular Flow) dalam Perekonomian 2 Sektor Perekonomian suatu negara igerakkan oleh pelaku-pelaku kegiatan ekonomi. Pelaku kegiatan ekonomi secara umum ikelompokkan kepaa empat pelaku, yaitu rumah tangga, perusahaan (swasta), pemerintah an ekspor-impor.

Lebih terperinci

PERANCANGAN ANTENA MIKROSTRIP PATCH SEGI EMPAT SLOTS DUAL-BAND PADA FREKUENSI 2,4 GHz DAN 3,3 GHz

PERANCANGAN ANTENA MIKROSTRIP PATCH SEGI EMPAT SLOTS DUAL-BAND PADA FREKUENSI 2,4 GHz DAN 3,3 GHz PERANCANGAN ANTENA MIKROSTRIP PATCH SEGI EMPAT SLOTS DUAL-BAND PADA FREKUENSI 2,4 DAN 3,3 Zul Hariansyah Hutasuhut, Ali Hanafiah Rambe Departemen Teknik Elektro Fakultas Teknik Universitas Sumatera Utara

Lebih terperinci

IV. ANALISA RANCANGAN

IV. ANALISA RANCANGAN IV. ANALISA RANCANGAN A. Rancangan Fungsional Dalam penelitian ini, telah irancang suatu perontok pai yang mempunyai bentuk an konstruksi seerhana an igerakkan engan menggunakan tenaga manusia. Secara

Lebih terperinci

1.1. Sub Ruang Vektor

1.1. Sub Ruang Vektor 1.1. Sub Ruang Vektor Dalam membiarakan ruang vektor, tiak hanya vektoer-vektornya saja yang menarik, tetapi juga himpunan bagian ari ruang vektor tersebut yang membentuk ruang vektor lagi terhaap operasi

Lebih terperinci

DESAIN PENGATUR TEMPERATUR RUANGAN UNTUK PEMELIHARAAN ANAK AYAM KAMPUNG SECARA OTOMATIS DENGAN MENGGUNAKAN TEKNOLOGI VHDL BERBASIS CPLD

DESAIN PENGATUR TEMPERATUR RUANGAN UNTUK PEMELIHARAAN ANAK AYAM KAMPUNG SECARA OTOMATIS DENGAN MENGGUNAKAN TEKNOLOGI VHDL BERBASIS CPLD SEMINAR NASNAL ELECTRICAL, INFORMATICS, AND IT S EDUCATNS 00 DESAIN PENGATUR TEMPERATUR RUANGAN UNTUK PEMELIHARAAN ANAK AYAM KAMPUNG SECARA OTOMATIS DENGAN MENGGUNAKAN TEKNOLOGI VHDL BERBASIS CPLD M. Ibrahim

Lebih terperinci

VIII. ALIRAN MELALUI LUBANG DAN PELUAP

VIII. ALIRAN MELALUI LUBANG DAN PELUAP VIII. ALIRAN MELALUI LUBANG DAN PELUAP 8.. Penahuluan Lubang aalah bukaan paa ining atau asar tangki imana zat cair mengalir melaluinya. Lubang tersebut bisa berbentuk segi empat, segi tiga, ataupun lingkaran.

Lebih terperinci

3 TEORI KONGRUENSI. Contoh 3.1. Misalkan hari ini adalah Sabtu, hari apa setelah 100 hari dari sekarang?

3 TEORI KONGRUENSI. Contoh 3.1. Misalkan hari ini adalah Sabtu, hari apa setelah 100 hari dari sekarang? Paa bab ini ipelajari aritmatika moular yaitu aritmatika tentang kelas-kelas ekuivalensi, imana permasalahan alam teori bilangan iseerhanakan engan cara mengganti setiap bilangan bulat engan sisanya bila

Lebih terperinci

PEMODELAN Deskripsi Masalah

PEMODELAN Deskripsi Masalah PEMODELAN Deskripsi Masalah Sebelum membuat penjawalan perkuliahan perlu iketahui semua mata kuliah yang itawarkan, osen yang mengajar, peserta perkuliahan, bobot sks an spesifikasi ruang yang iperlukan.

Lebih terperinci

Gambar 5(a).Tabel Kebenaran Full Adder

Gambar 5(a).Tabel Kebenaran Full Adder . Full dder Gambar 5 merupakan bentuk singkat dari tabel penambahan biner, dengan situasi 1 + 1 + 1. tabel kebenaran pada gambar 5(a) memperlihatkan semua kombinasi yang mungkin dari,, dan Cin (masukan

Lebih terperinci

PENALAAN KENDALI PID UNTUK PENGENDALI PROSES

PENALAAN KENDALI PID UNTUK PENGENDALI PROSES PENALAAN KENDALI PID UNTUK PENGENDALI PROSES Raita.Arinya Universitas Satyagama Jakarta Email: raitatech@yahoo.com Abstrak Penalaan parameter kontroller PID selalu iasari atas tinjauan terhaap karakteristik

Lebih terperinci

IMPLEMENTASI TEKNIK FEATURE MORPHING PADA CITRA DUA DIMENSI

IMPLEMENTASI TEKNIK FEATURE MORPHING PADA CITRA DUA DIMENSI IMPLEMENTSI TEKNIK FETURE MORPHING PD CITR DU DIMENSI Luciana benego an Nico Saputro Jurusan Intisari Pemanfaatan teknologi animasi semakin meluas seiring engan semakin muah an murahnya penggunaan teknologi

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

ARITHMATIC LOGIC UNIT ( alu ) half - full adder, ripple carry adder

ARITHMATIC LOGIC UNIT ( alu ) half - full adder, ripple carry adder 7 Tujuan RITMTI OGI UNIT ( alu ) half - full adder, ripple carry adder : Setelah mempelajari half-full adder, ripple carry adder diharapkan dapat,. Memahami aturan-aturan Penjumlahan bilangan biner 2.

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner).

dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner). Gerbang Logika dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner). Logika biner menggunakan dua buah nilai yaitu 0 dan 1. Logika biner yang digunakan dlm sistem digital,

Lebih terperinci

ANALISIS STABILITAS LERENG DENGAN SIMPLIFIED BISHOP METHOD dan JANBU MENGGUNAKAN PROGRAM MATHCAD

ANALISIS STABILITAS LERENG DENGAN SIMPLIFIED BISHOP METHOD dan JANBU MENGGUNAKAN PROGRAM MATHCAD ANALISIS STABILITAS LERENG DENGAN SIMPLIFIED BISHOP METHOD an JANBU MENGGUNAKAN PROGRAM MATHCAD YOSEPHINA NOVALIA NRP : 0521034 Pembimbing : Ir. Ibrahim Surya, M.Eng. FAKULTAS TEKNIK JURUSAN TEKNIK SIPIL

Lebih terperinci

Praktikum Total Quality Management

Praktikum Total Quality Management Moul ke: 09 Dr. Fakultas Praktikum Total Quality Management Aries Susanty, ST. MT Program Stui Acceptance Sampling Abstract Memberikan pemahaman tentang rencana penerimaan sampel, baik satu tingkat atau

Lebih terperinci

BAB V KAPASITOR. (b) Beda potensial V= 6 volt. Muatan kapasitor, q, dihitung dengan persamaan q V = ( )(6) = 35, C = 35,4 nc

BAB V KAPASITOR. (b) Beda potensial V= 6 volt. Muatan kapasitor, q, dihitung dengan persamaan q V = ( )(6) = 35, C = 35,4 nc BAB KAPASITOR ontoh 5. Definisi kapasitas Sebuah kapasitor 0,4 imuati oleh baterai volt. Berapa muatan yang tersimpan alam kapasitor itu? Jawab : Kapasitas 0,4 4 0-7 ; bea potensial volt. Muatan alam kapasitor,,

Lebih terperinci

, serta notasi turunan total ρ

, serta notasi turunan total ρ LANDASAN TEORI Lanasan teori ini berasarkan rujukan Jaharuin (4 an Groesen et al (99, berisi penurunan persamaan asar fluia ieal, sarat batas fluia ua lapisan an sistem Hamiltonian Penentuan karakteristik

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

3 TEORI KONGRUENSI. Contoh 3.1. Misalkan hari ini adalah Sabtu, hari apa setelah 100 hari dari sekarang?

3 TEORI KONGRUENSI. Contoh 3.1. Misalkan hari ini adalah Sabtu, hari apa setelah 100 hari dari sekarang? Paa bab ini ipelajari aritmatika moular yaitu aritmatika tentang kelas-kelas ekuivalensi, imana permasalahan alam teori bilangan iseerhanakan engan cara mengganti setiap bilangan bulat engan sisanya bila

Lebih terperinci

Perbaikan Kualitas Arus Output pada Buck-Boost Inverter yang Terhubung Grid dengan Menggunakan Metode Feed-Forward Compensation (FFC)

Perbaikan Kualitas Arus Output pada Buck-Boost Inverter yang Terhubung Grid dengan Menggunakan Metode Feed-Forward Compensation (FFC) JURNAL TEKNIK POMITS Vol. 1, No. 1, (01) 1-6 1 Perbaikan Kualitas Arus Output paa Buck-Boost Inverter yang Terhubung Gri engan Menggunakan Metoe Fee-Forwar Compensation (FFC) Faraisyah Nugrahani, Deet

Lebih terperinci

Rancang Bangun Sistem Kontrol Lubrikasi Roda Penyangga Cooler Untuk Memudahkan Troubleshooting Berbasis Programmable Logic Controller (PLC)

Rancang Bangun Sistem Kontrol Lubrikasi Roda Penyangga Cooler Untuk Memudahkan Troubleshooting Berbasis Programmable Logic Controller (PLC) Rancang Bangun Sistem Kontrol Lubrikasi Roa Penyangga Cooler Untuk Memuahkan Troubleshooting Berbasis Programmable Logic (PLC) Sugiono, Muhamma Atto illah ABSTRAK Lubrikasi roa penyangga cooler sangat

Lebih terperinci

BAB III INTERFERENSI SEL

BAB III INTERFERENSI SEL BAB NTEFEENS SEL Kinerja sistem raio seluler sangat ipengaruhi oleh faktor interferensi. Sumber-sumber interferensi apat berasal ari ponsel lainya ialam sel yang sama an percakapan yang seang berlangsung

Lebih terperinci

Percobaan 2 GERBANG KOMBINASIONAL DAN KOMPARATOR. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 2 GERBANG KOMBINASIONAL DAN KOMPARATOR. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 2 GERNG KOMINSIONL DN KOMPRTOR Oleh : Sumarna, Jurdik Fisika, FMIP, UN E-mail : sumarna@uny.ac.id Tujuan : 1. Membiasakan mengenali letak dan fungsi pin (kaki) pada IC gerbang logika. 2. Menyusun

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

BAB 4 ANALISIS DAN MINIMISASI RIAK TEGANGAN DAN ARUS SISI DC

BAB 4 ANALISIS DAN MINIMISASI RIAK TEGANGAN DAN ARUS SISI DC BAB ANAL DAN MNMA RAK EGANGAN DAN ARU DC. Penahuluan ampai saat ini, penelitian mengenai riak sisi DC paa inverter PWM lima-fasa paa ggl beban sinusoial belum pernah ilakukan. Analisis yang ilakukan terutama

Lebih terperinci

BAB VI. FUNGSI TRANSENDEN

BAB VI. FUNGSI TRANSENDEN BAB VI. FUNGSI TRANSENDEN Fungsi Logaritma Natural Fungsi Balikan (Invers) Fungsi Eksponen Natural Fungsi Eksponen Umum an Fungsi Logaritma Umum Masalah Laju Perubahan Seerhana Fungsi Trigonometri Balikan

Lebih terperinci

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJAAR OOLEAN Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan

Lebih terperinci

ANALISAPERHITUNGANWAKTU PENGALIRAN AIR DAN SOLAR PADA TANGKI

ANALISAPERHITUNGANWAKTU PENGALIRAN AIR DAN SOLAR PADA TANGKI ANALISAPERITUNGANWAKTU PENGALIRAN AIR DAN SOLAR PADA TANGKI Nurnilam Oemiati Staf Pengajar Jurusan Sipil Fakultas Teknik Universitas Muhammaiyah Palembang Email: nurnilamoemiatie@yahoo.com Abstrak paa

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

Penerapan Aljabar Max-Plus Pada Sistem Produksi Meubel Rotan

Penerapan Aljabar Max-Plus Pada Sistem Produksi Meubel Rotan Jurnal Graien Vol 8 No 1 Januari 2012:775-779 Penerapan Aljabar Max-Plus Paa Sistem Prouksi Meubel Rotan Ulfasari Rafflesia Jurusan Matematika, Fakultas Matematika an Ilmu Pengetahuan Alam, Universitas

Lebih terperinci

Jurnal Teknika ISSN : Fakultas Teknik Universitas Islam Lamongan Volume 2 No.2 Tahun 201

Jurnal Teknika ISSN : Fakultas Teknik Universitas Islam Lamongan Volume 2 No.2 Tahun 201 akultas Teknik Universitas Islam Lamongan Volume 2 No.2 Tahun 20 PEMBUATAN APLIKASI SISTEM PENDUKUNG KEPUTUSAN PEMILIHAN DALAM PENGEMBANGAN INDUSTRI POTENSIAL DENGAN METODE PROMETHEE II Ahma Jalaluin )

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

Respon Getaran Lateral dan Torsional Pada Poros Vertical-Axis Turbine (VAT) dengan Pemodelan Massa Tergumpal

Respon Getaran Lateral dan Torsional Pada Poros Vertical-Axis Turbine (VAT) dengan Pemodelan Massa Tergumpal JURNAL TEKNIK POMITS Vol., No. 1, (13 ISSN: 337-3539 (31-971 Print B-11 Respon Getaran Lateral an Torsional Paa Poros Vertical-Axis Turbine (VAT engan Pemoelan Massa Tergumpal Ahma Aminuin, Yerri Susatio,

Lebih terperinci

BAB III METODE PENELITIAN. Lokasi yang dijadikan tempat dalam penelitian ini adalah Tempat

BAB III METODE PENELITIAN. Lokasi yang dijadikan tempat dalam penelitian ini adalah Tempat BAB III METODE PENELITIAN 3.1 Loasi an Watu Penelitian 3.1.1 Loasi penelitian Loasi yang ijaian tempat alam penelitian ini aalah Tempat Pelelangan Ian (TPI) Kota Gorontalo. 3.1. Watu penelitian Penelitian

Lebih terperinci

BAB II DASAR TEORI. menyimpan muatan listrik. Kemampuan kapasitor menyimpan muatan listrik

BAB II DASAR TEORI. menyimpan muatan listrik. Kemampuan kapasitor menyimpan muatan listrik A II DASAR TEORI 2.1. Kapasitor Kapasitor merupakan salah satu komponen elektronik yang berfungsi menyimpan muatan listrik. Kemampuan kapasitor menyimpan muatan listrik isebut engan kapasitas kapasitor

Lebih terperinci

BAB II DASAR TEORI. II.1 Saham

BAB II DASAR TEORI. II.1 Saham BAB II DASAR TEORI Paa bab ini akan ijelaskan asar teori yang igunakan selama pelaksanaan Tugas Akhir ini: saham, analisis funamental, analisis teknis, moving average, oscillator, an metoe Relative Strength

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R BAB 4 RANGKAIAN LOGIKA IGITAL SEKUENSIAL Telah kita pelajari tentang unit logika kombinasional yang keluarannya hanya tergantung pada masukan saat itu atau dengan kata lain keluarannya merupakan fungsi

Lebih terperinci

ANALISA RESPON PENGENDALI FEEDFORWARD DAN PID PADA PENGENDALIAN TEMPERATUR HEAT EXCHANGER

ANALISA RESPON PENGENDALI FEEDFORWARD DAN PID PADA PENGENDALIAN TEMPERATUR HEAT EXCHANGER Mikrotiga, Vol, No. Januari 04 ISSN : 355 0457 6 ANALISA RESPON PENENDALI FEEDFORWARD DAN PID PADA PENENDALIAN EMPERAUR HEA EXCHANER Djulil Amri *, Bhakti Yuho Suprapto Jurusan eknik Elektro Universitas

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

CHARGER PORTABLE SEBAGAI PENGISIAN BATERAI HANDPHONE DARI SUMBER DAYA DC MENGGUNAKAN METODE BUCK BOOST CONVERTER

CHARGER PORTABLE SEBAGAI PENGISIAN BATERAI HANDPHONE DARI SUMBER DAYA DC MENGGUNAKAN METODE BUCK BOOST CONVERTER CHAGE POABE SEBAGAI PENGISIAN BAEAI HANPHONE AI SUMBE AYA C MENGGUNAKAN MEOE BUCK BOOS CONEE Agus Setyawan 1, Bambang Sumantri, S.,M.Sc 2, Agus Inra Gunawan, S.,M.Sc 2 1 Penulis, Mahasiswa Jurusan eknik

Lebih terperinci

ARTIKEL PENELITIAN DOSEN MUDA

ARTIKEL PENELITIAN DOSEN MUDA ARIKE PENEIIAN DOSEN MUDA OPIMISASI MUI UJUAN DENGAN PEA KENDAI MUU BUAAN Oleh :. ARRIVA RINCE PURI, S.Si, M. ZUAKMA, M.Si Dibiayai oleh embaga Penelitian Universitas Analas Sesuai engan Surat Peranian

Lebih terperinci

PENENTUAN RUMUS KETIDAKPASTIAN PENGUKURAN UJI KEKERASAN VICKERS

PENENTUAN RUMUS KETIDAKPASTIAN PENGUKURAN UJI KEKERASAN VICKERS 3 ISSN 016-318 PENENTUAN RUMUS KETIDAKPASTIAN PENGUKURAN UJI KEKERASAN VICKERS Pusat Teknologi Bahan Bakar Nuklir BATAN Serpong. ABSTRAK PENENTUAN RUMUS KETIDAKPASTIAN PENGUKURAN UJI KEKERASAN VICKERS.

Lebih terperinci

METODE PERSAMAAN DIOPHANTINE LINEAR DALAM PENENTUAN SOLUSI PROGRAM LINEAR INTEGER

METODE PERSAMAAN DIOPHANTINE LINEAR DALAM PENENTUAN SOLUSI PROGRAM LINEAR INTEGER METODE PERSAMAAN DIOPHANTINE LINEAR DALAM PENENTUAN SOLUSI PROGRAM LINEAR INTEGER Asrul Syam Program Stui Teknik Informatika, STMIK Dipanegara, Makassar e-mail: assyams03@gmail.com Abstrak Masalah optimasi

Lebih terperinci

RANGKAIAN ARITMETIKA 3

RANGKAIAN ARITMETIKA 3 RANGKAIAN ARITMETIKA 3 Pokok Bahasan :. Bilangan biner bertanda (positif dan negatif) 2. Sistim st dan 2 s-complement 3. Rangkaian Aritmetika : Adder, Subtractor 4. Arithmetic/Logic Unit Tujuan Instruksional

Lebih terperinci

PERENCANAAN PENULANGAN LENTUR DAN GESER BALOK PERSEGI MENURUT SNI 03-847-00 Slamet Wioo Staf Pengajar Peniikan Teknik Sipil an Perenanaan FT UNY Balok merupakan elemen struktur yang menanggung beban layan

Lebih terperinci

PENENTUAN DAN PENJADWALAN PEKERJA FULL-TIME DAN PEKERJA PART-TIME DENGAN KENDALA LIBUR HARI KERJA DAN LIBUR AKHIR PEKAN

PENENTUAN DAN PENJADWALAN PEKERJA FULL-TIME DAN PEKERJA PART-TIME DENGAN KENDALA LIBUR HARI KERJA DAN LIBUR AKHIR PEKAN PENENTUAN DAN PENJADWALAN PEKERJA FULL-TIME DAN PEKERJA PART-TIME DENGAN KENDALA LIBUR HARI KERJA DAN LIBUR AKHIR PEKAN Oleh: YUDI ARISANDI G54102045 DEPARTEMEN MATEMATIKA FAKULTAS MATEMATIKA DAN ILMU

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

PERSAMAAN SCHRODINGER YANG BERGANTUNG WAKTU

PERSAMAAN SCHRODINGER YANG BERGANTUNG WAKTU PERSAMAAN SCHRODINGER YANG BERGANTUNG WAKTU Perbeaan pokok antara mekanika newton an mekanika kuantum aalah cara menggambarkannya. Dalam mekanika newton, masa epan partikel telah itentukan oleh keuukan

Lebih terperinci

BAB III KONTROL PADA STRUKTUR

BAB III KONTROL PADA STRUKTUR BAB III KONROL PADA SRUKUR III. Klasifikasi Kontrol paa Struktur Sistem kontrol aktif aalah suatu sistem yang menggunakan tambahan energi luar. Sistem kontrol aktif ioperasikan engan sistem kalang-terbuka

Lebih terperinci

DIG 04 RANGKAIAN PENJUMLAH

DIG 04 RANGKAIAN PENJUMLAH DIG 04 RNGKIN PENJUMLH 4.1. TUJUN PERCON Mahasiswa mengenal, mengerti, dan memahami : 1. Operasi penjumlahan tak lengkap. 2. Operasi penjumlahan lengkap. 3. Ragam IC penjumlah biner. 4. Operasi penjumlahan

Lebih terperinci

Suatu persamaan diferensial biasa orde n adalah persamaan bentuk :

Suatu persamaan diferensial biasa orde n adalah persamaan bentuk : PERSAMAAN DIFERENSIAL BIASA PERSAMAAN DIFERENSIAL Suatu persamaan iferensial biasa ore n aalah persamaan bentuk : F n, ', '', ''',......, 0 Yang menatakan hubungan antara, fungsi () an turunanna ', '',

Lebih terperinci

PEMODELAN PENJADWALAN LINIER DENGAN ALOKASI SUMBER DAYA MANUSIA PADA PROYEK PERUMAHAN. Hedwig A Tan 1, Ratna S Alifen 2

PEMODELAN PENJADWALAN LINIER DENGAN ALOKASI SUMBER DAYA MANUSIA PADA PROYEK PERUMAHAN. Hedwig A Tan 1, Ratna S Alifen 2 PEMODELAN PENJADWALAN LINIER DENGAN ALOKASI SUMBER DAYA MANUSIA PADA PROYEK PERUMAHAN Hewig A Tan, Ratna S Alifen ABSTRAK: Metoe penjawalan linier cocok untuk proyek engan aktivitas seerhana, an repetitif

Lebih terperinci

Percobaan 3 RANGKAIAN PENJUMLAH BINER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 3 RANGKAIAN PENJUMLAH BINER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 3 RNGKIN PENJUMLH INER Oleh : umarna, Jurdik Fisika, FMIP, UNY E-mail : sumarna@uny.ac.id Tujuan :. Mengenal cara kerja rangkaian penjumlah biner, 2. Dapat menyusun rangkaian penjumlah Half dder

Lebih terperinci

BAB V DISAIN RANGKAIAN LOGIKA

BAB V DISAIN RANGKAIAN LOGIKA V DISIN RNGKIN LOGIK Pada ab ini akan dipelajari prosedur-prosedur dasar yang digunakan dalam mendesain rangkaian-rangkaian logika apabila persyaratan-persyaratan yang diinginkan diberikan. Persyaratan-persyaratan

Lebih terperinci

PENGENALAN SISTEM DIGITAL

PENGENALAN SISTEM DIGITAL 1 PENGENLN SISTEM DIGITL GERNG LOGIK Gerbang logika adalah piranti dua-keadaan : keluaran dengan nol volt yang menyatakan logika 0 (atau rendah) dan keluaran dengan tegangan tetap yang menyatakan logika

Lebih terperinci

BAB VII REGISTER. Keluar dan masuknya data ke dalam register dapat dilakukan dengan 2 cara:

BAB VII REGISTER. Keluar dan masuknya data ke dalam register dapat dilakukan dengan 2 cara: TEKNIK IGITAL-REGISTER/HAL. BAB VII REGISTER REGISTER Sebuah flip flop dapat digunakan untuk menyimpan data bit, sehingga jika ada sederetan dari n buah FF, maka dapat dipergunakan untuk menyimpan data

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS)

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) 2.1 TUJUAN - Membuat rangkaian logika Sum of Product dan Product of Sum yang berasar dari gerbang-gerbang

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

BAB III PERENCANAAN PEMILIHAN TALI BAJA PADA ELEVATOR BARANG. Q = Beban kapasitas muatan dalam perencanaan ( 1 Ton )

BAB III PERENCANAAN PEMILIHAN TALI BAJA PADA ELEVATOR BARANG. Q = Beban kapasitas muatan dalam perencanaan ( 1 Ton ) BAB III PERENCANAAN PEMILIHAN TALI BAJA PADA ELEVATOR BARANG 3.1 Perencanaan Beban Total Paa Elevator Barang Q total = Q + WM + WO ( Persamaan 2.1.10 ) Q = Beban kapasitas muatan alam perencanaan ( 1 Ton

Lebih terperinci

ESTIMASI WAKTU DAN SUDUT PEMUTUS KRITIS PADA SISTEM TENAGA LISTRIK DENGAN METODE LUAS SAMA

ESTIMASI WAKTU DAN SUDUT PEMUTUS KRITIS PADA SISTEM TENAGA LISTRIK DENGAN METODE LUAS SAMA Vol. 9 No. 1 Juni 1 : 53 6 ISSN 1978-365 ESTIMASI WAKTU DAN SUDUT PEMUTUS KRITIS PADA SISTEM TENAGA LISTRIK DENGAN METODE LUAS SAMA Slamet Pusat Penelitian an Pengembangan Teknologi Ketenagalistrikan an

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

KARAKTERISTIK PENGUAT OPERASIONAL

KARAKTERISTIK PENGUAT OPERASIONAL ELEKTONIK NLOG Pertemuan KKTEISTIK PENGUT OPESIONL Penguat perasinal (p-amp mrpk suatu penguat perlehan tinggi ikpellangsung engan umpan-balik yang itambahkan utk mengenalikan karakteristik tanggapan keseluruhan.

Lebih terperinci

PEMODELAN EMPIRIS COST 231-WALFISCH IKEGAMI GUNA ESTIMASI RUGI-RUGI LINTASAN ANTENA RADAR DI PERUM LPPNPI INDONESIA

PEMODELAN EMPIRIS COST 231-WALFISCH IKEGAMI GUNA ESTIMASI RUGI-RUGI LINTASAN ANTENA RADAR DI PERUM LPPNPI INDONESIA PROSIDING SEMINAR NASIONA MUTI DISIPIN IMU &CA FOR PAPERS UNISBANK KE-3(SENDI_U 3) 217 PEMODEAN EMPIRIS COST 231-WAFISCH IKEGAMI GUNA ESTIMASI RUGI-RUGI INTASAN ANTENA RADAR DI PERUM PPNPI INDONESIA Ria

Lebih terperinci