Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Ukuran: px
Mulai penontonan dengan halaman:

Download "Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto"

Transkripsi

1 Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto

2 Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder

3 Comparator Equality Non Equality Comparator

4 Non Equality Comparator Definisi : Rangkaian Logika yang memberikan keadaan output tinggi jika keadaan input-inputnya berbeda

5 Tabel kebenaran Input Output A B Y Peta Karnough

6 Bentuk SOP minimum Y=AB+AB Y(A,B)= (1,2) Bentuk POS minimum Y=(A+B)(A+B) Y(A,B)= П(0,3)

7 Gerbang XOR (IC 7486) Y=A+B Buatlah tabel kebenaran XOR untuk 3 input!

8 Equality Comparator Definisi : Rangkaian Logika yang memberikan keadaan output tinggi jika keadaan inputinputnya sama

9 Tabel kebenaran Input Output A B Y Peta Karnough

10 Bentuk SOP minimum Y=AB+AB Y(A,B)= (0,3) Bentuk POS minimum Y=(A+B)(A+B) Y(A,B)= П(1,2)

11 Gerbang XNOR (IC 74266) Y=A B Buatlah tabel kebenaran XNOR untuk 3 input!

12 Penjumlah Biner (Adder) Half Adder Full Adder Full Adder Paralel

13 Half Adder Definisi : Merupakan rangkaian penjumlah yang tidak menyertakan bawaan sebelumnya (previous carry) pada inputnya.

14 Input Output A B S Cn A B Keterangan : : Augend (bilangan yang dijumlahkan) : Addend (bilangan penjumlah) S : Sum (hasil penjumlahan) Cn : Next carry (bawaan berikutnya)

15 Implementasi dan simbol half adder

16 Full adder Definisi : Merupakan rangkaian penjumlah yang menyertakan bawaan sebelumnya (previous carry) pada inputnya.

17 Input Output A B Cp S Cn

18 Persamaan output S=A+B+Cp Implementasi XOR dan simbol Full Adder

19 Implementasi full adder dengan half adder

20 Full adder paralel Definisi : Merupakan rangkaian logika yang melakukan proses penjumlahan data biner n-bit.

21 Full Adder Paralel 4-bit dibangun dengan menempatkan 4 buah full adder 1 bit secara berjajar. Selanjutnya, input dan output full adder terbawah ditetapkan sebagai input dan output dengan bobot terkecil atau LSB yaitu A 0 B 0, dan S 0 Input Previous Carry (Cp) pada full adder terbawah ditetapkan sebagai input carry (Ci) pada full adder paralel

22 Full Adder Parallel

23 Operasi penjumlahan pada full adder paralel Misalkan melakukan penjumlahan bilangan desimal 3+2, dengan anggapan Input carry (Ci)=0, maka prosesnya adalah : Ci = 0 A = A 3 A 2 A 1 A 0 = B = B 3 B 2 B 1 B 0 = S = S 3 S 2 S 1 S 0 = Co = = 0

24 SM (Sign-magnitude representation) Representasi besaran bertanda -5 (perhatikan tanda -/negatif/minus didepan angka 5) 5 (desimal) dalam bilangan biner adalah 101 Karena menggunakan full adder paralel 4 bit, maka, 1 bit paling kiri menunjukkan sifat bilangannya, negatif/positif. Bilangan positif, menggunakan angka 0 untuk merepresentasikannya Angka 1 digunakan untuk merepresentasikan bilangan negatf

25 -5 Least Significant Bit (LSB) SM 1101 Most Significant Bit (MSB) sekaligus sebagai sign

26 S1C (Signed-1 s complement representation) Representasi komplemen pertama bertanda -5 Di komplemenkan menjadi 010 SM 1101 S1C 1010 Most Significant Bit (MSB) sekaligus sebagai sign

27 S2C (Signed-2 s complement representation) Representasi komplemen kedua bertanda -5 Di komplemenkan menjadi 010 SM S2C Most Significant Bit (MSB) sekaligus sebagai sign

28 Penjumlahan dengan bilangan negatif Jenis representasi +5-5 SM S1C S2C

29 Multiplexer Merupakan rangkaian logika yang berfungsi memilih data yang ada pada inputnya untuk disalurkan ke outputnya dengan bantuan sinyal pemilih atau sinyal kontrol Kata multiplexer sering dikemukakan dalam bentuk singkat MUX Multiplexer disebut juga sebagai pemilih data (data selector). Jumlah input multiplexer adalah 2 n (n=1,2,3...) dengan n merupakan jumlah bit sinyal pemilih.

30 Tabel kebenaran Input Output S 1 S 0 Y 0 0 I I I I 3

31 Multiplexer pada dasarnya adalah rangkaian logika berbentuk AND-OR atau SOP. Berdasarkan tabel kebenarannya, maka dapat diperoleh product atau suku persamaan SOP Y=S 1 S 0 I 0 +S 1 S 0 I 1 + S 1 S 0 I 2 +S 1 S 0 I 3 Berdasarkan persamaan output MUX 4 ke 1 diatas, jelaskan cara kerja multiplexer, jika sinyal pemilihnya S 1 S 0 =00! Dengan menggunakan cara penurunan yang sama dengan MUX 4 ke 1, tulislah persamaan output untuk MUX 8 ke 1!

32 Demultiplexer Merupakan rangkaian logika yang berfungsi menyalurkan data yang ada pada inputnya ke salah satu dari beberapa outputnya dengan bantuan sinyal pemilih atau sinyal kontrol. Dalam penyebutannya, demultiplexer sering dikemukakan dalam bentuk singkatanya saja, yaitu DEMUX. Demultiplexer disebut juga penyalur data (data distributor) dan fungsinya merupakan kebalikan dari fungsi multiplexer. Jumlah output DEMUX adalah 2 n (n=1,2,3...) dengan n merupakan jumlah bit sinyal pemilih.

33 Tabel kebenaran Pemilih Output S 1 S 0 Y 0 Y 1 Y 2 Y I I I I

34 Demultiplexer pada dasarnya adalah kumpulan gerbang AND. Berdasarkan tabel kebenaran diatas, diperoleh persamaan outputnya sbb : Y 0 =S 1 S 0 I Y 1 =S 1 S 0 I Y 2 =S 1 S 0 I Y 3 =S 1 S 0 I Untuk sinyal pemilih S 1 S 0 =00, tuliskan output DEMUX 3 ke 8!

35 Cobalah untuk mengecek, berapa nilai Y 0 Y 1 Y 2 Y 3 untuk S 1 S 0 =01, S 1 S 0 =10, S 1 S 0 =11, apakah hasilnya sudah sesuai dengan tabel kebenaran?

36 Tabel kebenaran Input Output Enable Pemilih I S 1 S 0 Y 0 Y 1 Y 2 Y 3 1 x x

37 Encoder Definisi : Merupakan rangkaian logika yang berfungsi mengubah data yang ada pada inputnya menjadi kode-kode biner pada outputnya. Contoh encoder oktal ke biner atau disebut juga encoder 8 ke 3, berfungsi mengubah data bilangan oktal pada inputnya menjadi kode biner 3 bit pada outputnya.

38 Tabel Kebenaran encoder prioritas 8 ke 3 input jenis active high INPUT OUTPUT C B A X X X X X X X X X X X X X X X X X X X X X X X X X X X X

39 Decoder Definisi : Merupakan rangkaian logika yang berfungsi mengkode ulang atau mentafsirkan kode-kode biner yang ada pada inputnya menjadi data asli pada outputnya, dan fungsinya merupakan kebalikan dari fungsi encoder. Contoh : decoder 2 ke 4 berfungsi menafsirkan kode-kode biner 2 bit menjadi data asli bilangan desimal 0 sampai dengan 3.

40 Tabel kebenaran Input Output B A Y 0 Y 1 Y 2 Y

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian kombinasional aritmetika Ada 3 jenis Adder : Rangkaian Adder

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

RANGKAIAN ARITMETIKA 3

RANGKAIAN ARITMETIKA 3 RANGKAIAN ARITMETIKA 3 Pokok Bahasan :. Bilangan biner bertanda (positif dan negatif) 2. Sistim st dan 2 s-complement 3. Rangkaian Aritmetika : Adder, Subtractor 4. Arithmetic/Logic Unit Tujuan Instruksional

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

Jobsheet Praktikum PARALEL ADDER

Jobsheet Praktikum PARALEL ADDER 1 PARALEL ADDER A. Tujuan Kegiatan Praktikum 3-4 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) E-mail: mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

Review Kuliah Sebelumnya

Review Kuliah Sebelumnya TEKNIK DIGITAL Review Kuliah Sebelumnya Konversikan Bilangan di Bawah ini 1. 89 10 = 16 2. 367 8 = 2 3. 11010 2 = 10 4. 7FD 16 = 8 5. 29A 16 = 10 6. 110111 2 = 8 7. 359 10 = 2 8. 472 8 = 16 Tujuan Perkuliahan

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Review Kuliah Di kuliah sebelumnya

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 10 JUDUL PERCOBAAN : Half / Full Adder, Adder Subtractor KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

Bilangan Bertanda (Sign Number)

Bilangan Bertanda (Sign Number) Bilangan Bertanda (Sign Number) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Agustus 2015 Signed Integer: Sign/magnitude

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

Arithmatika Komputer. Pertemuan 3

Arithmatika Komputer. Pertemuan 3 Arithmatika Komputer Pertemuan 3 2.3. Aritmetika Integer Membahas operasi aritmetika (Sistem Komplemen Dua) Penjumlahan Pengurangan Perkalian Pembagian Penjumlahan dan Pengurangan Penambahan pada complement

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

4/27/2012 GALAT/ ERROR SIMPANGAN ATAU SELISIH DARI NILAI SEBENARNYA PADA VARIABEL YANG DIUKUR GALAT BERBEDA DENGAN SALAH GALAT DALAM PENGUKURAN

4/27/2012 GALAT/ ERROR SIMPANGAN ATAU SELISIH DARI NILAI SEBENARNYA PADA VARIABEL YANG DIUKUR GALAT BERBEDA DENGAN SALAH GALAT DALAM PENGUKURAN GALAT/ ERROR SIMPANGAN ATAU SELISIH DARI NILAI SEBENARNYA PADA VARIABEL YANG DIUKUR GALAT DALAM PENGUKURAN GALAT BERBEDA DENGAN SALAH SALAH BERHUBUNGAN ERAT DENGAN BAGAIMANA PENGUKURAN ITU DILAKUKAN, CONTOH:

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

Muhammad Adri Abstrak

Muhammad Adri  Abstrak Pengantar Arsitektur Komputer 4 Rangkaian Aritmatika Muhammad Adri mhd.adri@unp.ac.id http://muhammadadri.wordpress.com Abstrak Rangkaian aritmatika merupakan salah satu inti pembahasan dalam pengantar

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NO. PERCOBAAN : 10 KELAS/GROUP : TT3A/08 NAMA PRAKTIKAN : ADE ZASKIATUN NABILA NAMA PARTNER : -SEVTHIA NUGRAHA -SOCRATES PUTRA N TGL PERCOBAAN : 3 OKTOBER 2016 TGL PENYERAHAN

Lebih terperinci

Sistem-Sistem Bilangan Sistem-Sistem Bilangan secara matematis: Contoh-2: desimal: biner (radiks=2, digit={0, 1}) Bilangan. Nilai

Sistem-Sistem Bilangan Sistem-Sistem Bilangan secara matematis: Contoh-2: desimal: biner (radiks=2, digit={0, 1}) Bilangan. Nilai Sistem-Sistem Bilangan Sistem-Sistem Bilangan secara matematis: Bilangan : D r d n 1 d n 2 d 1 d 0 d 1 d n Nilai : D r n i 1 n d i r i Contoh-2: desimal: 5185.68 10 = 5x10 3 + 1x10 2 + 8x10 1 + 5x10 0

Lebih terperinci

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat :

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat : PERTEMUN 3 GERNG LOGIK - Mahasiswa diharapkan dapat : Sasaran Pertemuan3. Mengerti tentang Gerbang Logika Dasar 2. Mengerti tentang ljabar oolean 3. Mengerti tentang MS (Most significant bit) dan LS (least

Lebih terperinci

RANGKAIAN ARITMETIKA

RANGKAIAN ARITMETIKA RANGKAIAN ARITMETIKA Materi :. Sistim Bilangan : Desimal, Biner, Oktal, Hexadesimal 2. Konversi Sistim Bilangan 3. Sistim Coding 4. Fungsi-fungsi Aritmetika Biner : penjumlahan, pengurangan, perkalian,

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL PERTEMUAN 9 RANGKAIAN KOMBINASIONAL Sasaran Pertemuan 9 Mahasiswa diharapkan mengerti tentang Rangkaian Kombinasional yang terdiri dari : - Multiplexer - Demultiplexer - Decoder - Encoder - Seven Segment

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

DIG 04 RANGKAIAN PENJUMLAH

DIG 04 RANGKAIAN PENJUMLAH DIG 04 RNGKIN PENJUMLH 4.1. TUJUN PERCON Mahasiswa mengenal, mengerti, dan memahami : 1. Operasi penjumlahan tak lengkap. 2. Operasi penjumlahan lengkap. 3. Ragam IC penjumlah biner. 4. Operasi penjumlahan

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

Sistem Bilangan & Kode Data

Sistem Bilangan & Kode Data Sistem Bilangan & Kode Data Sistem Bilangan (number system) adalah suatu cara untuk mewakili besaran dari suatu item fisik. Sistem bilangan yang banyak digunakan manusia adalah desimal, yaitu sistem bilangan

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

RANGKAIAN ARITMETIKA 2

RANGKAIAN ARITMETIKA 2 RANGKAIAN ARITMETIKA 2 Pokok Bahasan : 1. Sistim Coding 2. Fungsi-fungsi Aritmetika Biner : penjumlahan, pengurangan, perkalian, pembagian 3. Implementasi fungsi Aritmetika pada sistim Bilangan yang lain

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

BAB I DASAR KOMPUTER DIGITAL

BAB I DASAR KOMPUTER DIGITAL TEKNIK DIGITAL/HAL. 1 BAB I DASAR KOMPUTER DIGITAL Bagian dasar dari Komputer digital : - Input = Keyboard - Control = Control Circuit - Memory = Memory, Storage - Aritmetic Logic Unit o Addition = Penjumlahan

Lebih terperinci

Basic Arithmetic Computing. Team Dosen Telkom University 2016

Basic Arithmetic Computing. Team Dosen Telkom University 2016 Basic Arithmetic Computing Team Dosen Telkom University 2016 Arithmetic & Logic Unit Pekerjaan : menghitung Menangani integer Bisa menangani bilangan floating point (real) dengan algortima tertentu atau

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Representasi Bilangan dan Operasi Aritmatika Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital

Lebih terperinci

Gambar 5(a).Tabel Kebenaran Full Adder

Gambar 5(a).Tabel Kebenaran Full Adder . Full dder Gambar 5 merupakan bentuk singkat dari tabel penambahan biner, dengan situasi 1 + 1 + 1. tabel kebenaran pada gambar 5(a) memperlihatkan semua kombinasi yang mungkin dari,, dan Cin (masukan

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

SISTEM BILANGAN. TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT. AkademiTelkom Jakarta 2011

SISTEM BILANGAN. TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT. AkademiTelkom Jakarta 2011 SISTEM BILANGAN TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT AkademiTelkom Jakarta 2011 Pendahuluan Komponen Semikonduktor (dioda, transistor) rangkaian elektronika (chip/ic) SISTEM DIGITAL IC berbasis

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Tim Dosen KPKK Kelompok Keahlian Representasi Data 1 8/30/2016 Pendahuluan (Resume) Apa yang dimaksud dengan representasi data? Mengapa komputer menganut sistem

Lebih terperinci

Fakultas Teknologi Industri Universitas Gunadarma 2013

Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun : 1. Imam Purwanto, S.Kom., MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom. ebook REPRESENTASI DATA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT Akademi Telkom Jakarta

TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT Akademi Telkom Jakarta SISTEM BILANGAN TEKNIK DIGITAL Pertemuan 1 Oleh YUS NATALI, ST., MT Akademi Telkom Jakarta Visi Institusi Pada Tahun 2025, Menjadi Perguruan Tinggi yang unggul dalam bidang ICT ( Information Communication

Lebih terperinci

Sistem Bilangan. Rudi Susanto

Sistem Bilangan. Rudi Susanto Sistem Bilangan Rudi Susanto 1 Sistem Bilangan Ada beberapa sistem bilangan yang digunakan dalam sistem digital. Yang paling umum adalah sistem bilangan desimal, biner, oktal dan heksadesimal Sistem bilangan

Lebih terperinci

SISTEM BILANGAN, OPERASI ARITMATIKA DAN PENGKODEAN

SISTEM BILANGAN, OPERASI ARITMATIKA DAN PENGKODEAN SISTEM BILANGAN, OPERASI ARITMATIKA DAN PENGKODEAN REPRESENTASI DATA Data : bilangan biner atau informasi berkode biner lain yang dioperasikan untuk mencapai beberapa hasil penghitungan penghitungan aritmatik,

Lebih terperinci

BAB II SISTEM-SISTEM BILANGAN DAN KODE

BAB II SISTEM-SISTEM BILANGAN DAN KODE BAB II SISTEM-SISTEM BILANGAN DAN KODE Didalam sistem-sistem digital informasi numerik biasanya dinyatakan dalam sistem bilangan biner (atau kode biner lain yang bersangkutan). Sistem biner telah diperkenalkan

Lebih terperinci

SISTEM KONVERTER KODE DAN ADDER

SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER Disusun untuk melengkapi Tugas Elektronika kelas A Teknik Fisika - Fakultas Teknologi Industri - ITS Disusun oleh : Kelompok 1. Abu Hamam 2412 100 100 2. Moudy Azura

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

OPERASI DALAM SISTEM BILANGAN

OPERASI DALAM SISTEM BILANGAN OPERASI DALAM SISTEM BILANGAN Pertemuan Kedua Teknik Digital Yus Natali, ST.,MT SISTEM BILANGAN Sistem bilangan adalah cara untuk mewaikili besaran dari suatu item fisik. Sistem bilangan yang banyak dipergunakan

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Representasi Bilangan dan Operasi Aritmatika Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital

Lebih terperinci

Pertemuan 2. sistem bilangan

Pertemuan 2. sistem bilangan Pertemuan 2 sistem bilangan Sasaran Pertemuan 2 - Mahasiswa diharapkan dapat : 1. mengkonversi antar bilangan desimal, biner, oktal dan hexadesimal 2. Mengerti tentang bilangan komplemen 3. mengerti tentang

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL SKRIPSI diajukan sebagai salah satu persyaratan untuk memperoleh gelar Sarjana Pendidikan Program

Lebih terperinci

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014 Kuliah#9 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro 21 Maret 2014 http://didik.blog.undip.ac.id 1 Review Kuliah Di kuliah sebelumnya dibahas tentang: Representasi

Lebih terperinci

BAB II ARITMATIKA DAN PENGKODEAN

BAB II ARITMATIKA DAN PENGKODEAN TEKNIK DIGITAL/HAL. 8 BAB II ARITMATIKA DAN PENGKODEAN ARITMATIKA BINER Operasi aritmatika terhadap bilangan binari yang dilakukan oleh komputer di ALU terdiri dari 2 operasi yaitu operasi penambahan dan

Lebih terperinci

Sistem Bilangan dan Pengkodean -2-

Sistem Bilangan dan Pengkodean -2- Sistem Digital Sistem Bilangan dan Pengkodean -2- Missa Lamsani Hal 1 Sistem Bilangan Bilangan Decimal Bilangan Biner Decimal -> biner Aritmatika Binar Komplemen 1 dan 2 Sign Bit Operasi aritmatik dengan

Lebih terperinci

Pertemuan Ke-6 ARITMATIKA KOMPUTER

Pertemuan Ke-6 ARITMATIKA KOMPUTER Pertemuan Ke-6 ARITMATIKA KOMPUTER Pendahuluan Aritmetika komputer dibentuk dua jenis bilangan yang sangat berbeda integer dan floating point. Pada kedua jenis bilangan tersebut, pemilihan representasi

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

BAB V b SISTEM PENGOLAHAN DATA KOMPUTER (Representasi Data) "Pengantar Teknologi Informasi" 1

BAB V b SISTEM PENGOLAHAN DATA KOMPUTER (Representasi Data) Pengantar Teknologi Informasi 1 BAB V b SISTEM PENGOLAHAN DATA KOMPUTER (Representasi Data) "Pengantar Teknologi Informasi" 1 SISTEM BILANGAN Bilangan adalah representasi fisik dari data yang diamati. Bilangan dapat direpresentasikan

Lebih terperinci

BAB 5. Sistem Digital

BAB 5. Sistem Digital DIKTAT KULIAH Elektronika Industri & Otomasi (IE-204) BAB 5. Sistem Digital Diktat ini digunakan bagi mahasiswa Jurusan Teknik Industri Fakultas Teknik Universitas Kristen Maranatha Ir. Rudy Wawolumaja

Lebih terperinci

MENGENAL MULTIPLEXER DAN DEMULTIPLEXER

MENGENAL MULTIPLEXER DAN DEMULTIPLEXER MENGENAL MULTIPLEXER DAN DEMULTIPLEXER KATA PENGHANTAR Alhamdulillah puji syukur kehadirat allah SWT atas segala rahmat,hidayah dan karunia-nya yang diberikan kepada saya,sehingga hasil karya tulis yang

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

MULTIPLEKSER DAN DEMULTIPLEKSER

MULTIPLEKSER DAN DEMULTIPLEKSER MULTIPLEKSER DAN DEMULTIPLEKSER 1. Multiplekser Multiplexer (MUX) atau selector data adalah suatu rangkaian logika yang menerima beberapa input data, dan untuk suatu saat tertentu hanya mengizinkan satu

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

KOMPETENSI DASAR : MATERI POKOK : Sistem Bilangan URAIAN MATERI 1. Representasi Data

KOMPETENSI DASAR : MATERI POKOK : Sistem Bilangan URAIAN MATERI 1. Representasi Data KOMPETENSI DASAR : 3.1. Memahami sistem bilangan Desimal, Biner, Oktal, Heksadesimal) 4.1. Menggunakan sistem bilangan (Desimal, Biner, Oktal, Heksadesimal) dalam memecahkan masalah konversi MATERI POKOK

Lebih terperinci

Representasi Data. M. Subchan M

Representasi Data. M. Subchan M Representasi Data M. Subchan M DATA Fakta berupa angka, karakter, symbol, gambar, suara yang mepresentasikan keadaan sebenarnya yg selanjutnya dijadikan sbg masukan suatu sistem informasi Segala sesuatu

Lebih terperinci

SISTEM BILANGAN DAN KONVERSI BILANGAN. By : Gerson Feoh, S.Kom

SISTEM BILANGAN DAN KONVERSI BILANGAN. By : Gerson Feoh, S.Kom SISTEM BILANGAN DAN KONVERSI BILANGAN By : Gerson Feoh, S.Kom 1 BAB I PENDAHULUAN Konsep dasar sistem komputer yaitu adanya sistem biner, sistem desimal dan hexadesimal. Dalam sistem biner adalah sistem

Lebih terperinci

ARSITEKTUR SISTEM KOMPUTER. Wayan Suparta, PhD https://wayansuparta.wordpress.com/ Maret 2018

ARSITEKTUR SISTEM KOMPUTER. Wayan Suparta, PhD https://wayansuparta.wordpress.com/ Maret 2018 ARSITEKTUR SISTEM KOMPUTER Wayan Suparta, PhD https://wayansuparta.wordpress.com/ 12-13 Maret 2018 Materi 6: Aritmatika Komputer Arithmetic and Logic Unit (ALU) ALU merupakan bagian komputer yang berfungsi

Lebih terperinci

Kelompok 7. Danu Setiawan Juli Adi Prastyo Comparator

Kelompok 7. Danu Setiawan Juli Adi Prastyo Comparator Kelompok 7 Danu Setiawan 1017041023 Juli Adi Prastyo 1017041031 Comparator Rangkaian Comparator adalah satu jenis penerapan rangkaian kombinasional yang mempunyai fungsi utama membandingkan dua data digital.

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

BAB I PENGENALAN KONSEP DIGITAL

BAB I PENGENALAN KONSEP DIGITAL BAB I PENGENALAN KONSEP DIGITAL Di dalam science, teknologi, bisnis dan pada semua bidang-bidang ilmu yang lain, selalu berurusan dengan kuantitas. Kuantitas-kuantitas ini diukur, dimonitor, dicatat, dan

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

Sistem Bilangan Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 08 --

Sistem Bilangan Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 08 -- Sistem Bilangan Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 08 -- Acknowledgement Digital Principles and Applications, Leach- Malvino, McGraw-Hill Adhi

Lebih terperinci

Dr. novrina

Dr. novrina Dr. novrina novrina@staff.gunadarma.ac.id Sistem Bilangan Konversi Sistem Bilangan Operasi Aritmatik pada Sistem Bilangan Bilangan Biner Bertanda Pengkodean Biner ( 0 dan 1) Desimal ( 0 9) Oktal ( 0 7)

Lebih terperinci