PRAKTIKUM TEKNIK DIGITAL

Ukuran: px
Mulai penontonan dengan halaman:

Download "PRAKTIKUM TEKNIK DIGITAL"

Transkripsi

1 MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015

2 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik Digital : 1 (Satu) Alokasi Waktu : 100 menit Menguasai penyederhanaan persamaan logika dan simulasi pembuatan rangkaian logika sederhana B. Kompetensi Dasar 1. Teori Aljabar Boole 2. Penyederhanaan dengan Peta Karnaugh 3. Teori Rangkaian Kombinasional C. Tujuan Pembelajaran Setelah mengikuti praktikum siswa diharapkan dapat: 1. Memahami cara menyederhanakan persamaan digital 2. Dapat merancang rangkaian digital sederhana D. Materi Aljabar Boole (Boolean Algebra) Aljabar Boole dikembangkan oleh matematikawan Inggris George Boole di tahun Aljabar Boole tepat untuk diimplementasikan dalam analisa logika matematika. Boole digunakan dalam bidang-bidang antropologi, biologi, kimia, ekologi, ekonomi, sosiologi dan terutama di bidang computer science. Penerapan dalam bidang computer science adalah dalam hal perancangan sirkuit elektronik (jaringan yang tersusun atas gerbang logika), bahasa pemrograman, basis data dan teori kompleksitas [2]. Operasi Dasar dan Gerbang Logika 1. Operasi NOT Disebut juga Invers Lambang 2 E l i s a U s a d a

3 Tabel kebenaran 2. Operasi OR Lambang Tabel kebenaran 3. Operasi AND Lambang Tabel kebenaran 4. Operasi XOR Lambang Tabel kebenaran X X E l i s a U s a d a

4 Rangkaian Logika Rangkaian logika dibentuk dari susunan gerbang logika. Rangkaian logika dapat dibedakan menjadi dua macam yaitu rangkaian kombinasional dan rangkaian sekuensial. Rangkaian logika kombinasional merupakan rangkaian logika yang memiliki output yang tergantung hanya pada kondisi input pada saat itu. Rangkaian logika sekuensial adalah rangkaian logika yang memiliki output yang tergantung pada input pada saat itu dan juga tergantung pada kondisi input sebelumnya (memiliki memori). Contoh rangkaian kombinasional adalah rangkaian adder, substractor, multiplexer. Contoh rangkaian sekuensial adalah register dan counter. Persamaan logika yang disederhanakan dapat membentuk rangkaian logika yang sederhana pula. Beberapa cara yang digunakan untuk menyederhanakan persamaan logika adalah: a) Metode aljabar Boole b) Metode Karnaugh Map (Peta Karnaugh atau K-Map) Penyederhanaan persamaan logika pada intinya adalah mengurangi jumlah minterm atau maxterm yang ada di dalam persamaan. Penyederhanaan dengan Aljabar Boole Penyederhanaan persamaan logika dengan aljabar Boole dilakukan dengan menerapkan dalil dan teorema-teorema dalam aljabar Boole. Dalil dalam aljabar Boole: Teorema Aljabar Boole: T1: Hukum Komutatif a) X + Y = Y + X b) X. Y = Y. X T2: Hukum Asosiatif D1: X = 0 atau X = 1; X merupakan variabel boolean D2: 0. 0 = 0 D3: = 1 D4: = 0 D5: 1. 1=1 D6: 1. 0 = 0. 1 = 0 D7: =0 + 1 = 1 4 E l i s a U s a d a

5 a) ( X + Y ) + Z = X + (Y + Z) b) (X. Y ). Z = X. (Y. Z) T3: Hukum Distributif a) X. (Y + Z) = X.Y + X.Z b) X + (Y. Z) = (X+Y). (X + Z) T4: Hukum Identitas a) X + X = X b) X. X = X T5: Hukum Negasi a) (X ) = X b) (X ) = X T6: Hukum Redundansi T7: T8: T9: a) X + X. Y = X b) X. ( X + Y ) = X a) 0 + X = X b) 1. X = X c) 1 + X = 1 d) 0. X = 0 a) A + A = 1 b) A. A = 0 a) X + X. Y = X + Y X. (X + Y) = X. Y T10: De Morgan Contoh: a) (X + Y) = X. B b) ( X. Y) = X + B Sederhanakan persamaan logika berikut menggunakan dalil/teorema aljabar boole: F= Y(X + Z) + Z Penyelesaian: F = Y(X + Z) + Z 5 E l i s a U s a d a

6 = YX + YZ +Z hukum distributif = YX + Z(Y+1) Menurut Teorema (T7) Y + 1 = 1 maka, X = YX + Z. 1 Menurut Teorema (t7) Z. 1 = Z maka, X = YX + Z Penyederhanaan Persamaan Logika dengan Peta Karnaugh Peta karnaugh digunakan untuk penyederhaan persamaan boolean baik untuk suku minterm (SOP) maupun maxterm (POS). Dalam modul ini hanya akan dibahas penyederhanaan untuk minterm saja. Peta karnaugh menggambarkan harga suatu persamaan (fungsi) logika pada tiap kombinasi masukan. Harga untuk setiap masukan dituliskan dalam kotak yang bersesuaian dengan nama variabel boolean. Urutan nama variabel pada kotak peta Karnaugh dibuat berdasarkan deretan kode Gray. Jumlah kotak tergantung dari jumlah variabel boolean dari persamaan tersebut. Jumlah kotak dirumuskan dengan 2 n dengan n adalah jumlah variabel yang ada di dalam persamaan. Untuk persamaan logika dengan 2 variabel, maka kotak yang dibutuhkan dalam peta Karnaugh adalah 2 n =4. Penggambaran peta karnaugh untuk 3 variabel ke atas memiliki beberapa variasi. Berikut ini variasi gambar peta karnaugh untuk 2 variabel, 3 variabel dan 4 variabel. Peletakan Minterm (m n ) dalam Peta Karnaugh untuk Persamaan logika 2 variabel: Sumber Gambar: Variasi Peletakan Minterm (m n ) dalam peta Karnaugh untuk Persamaan logika 3 variabel: 6 E l i s a U s a d a

7 - Sumber Gambar:[1] Peletakan Minterm (m n ) dalam Peta Karnaugh untuk Persamaan Logika 4 Variabel: Sumber Gambar: [3] Setelah diketahui posisi untuk setiap minterm, maka dilakukan pengelompokan (grouping). Ketentuan grouping (untuk minterm) adalah: 1. Pengelompokan dilakukan pada nilai 1 yang berdekatan (tidak terselang) 2. Pengelompokan sebanyak 2 n minterm (1,2,4,8,16, dst) 3. Buat kelompok sebesar mungkin 7 E l i s a U s a d a

8 Contoh pengelompokan: 2 variabel: Sumber Gambar: [3] 3 variabel: Sumber Gambar: [3] 4 variabel: Sumber Gambar: [3] 8 E l i s a U s a d a

9 Hasil pengelompokan menentukan bentuk baru dari persamaan logika. Tuliskan persamaan baru dengan cara menuliskan SOP dari variabel yang memiliki petak bernilai 1. Contoh : Langkah-langkah penyederhanaan dengan peta Karnaugh: Dicontohkan persoalan penyederhaan untuk persamaan logika F=A B C + A B C + A BC + A BC 1. Membuat tabel kebenaran dari persamaan logika A B C A B C A B C A BC A BC F Meletakkan minterm atau maksterm ke dalam posisi di peta Karnaugh (menuliskan kondisi F sesuai nilai masukan, dapat dilihat pada kolom F) A BC Maka persamaan baru dituliskan: F=x 1 x 2 + x 1 x E l i s a U s a d a

10 3. Pengelompokan (grouping) Dari pengelompokan didapatkan persamaan baru yang lebih sederhana yaitu: E. Lembar Kerja 1. Alat dan Bahan a) Modul praktikum b) Trainer Kit Leybold c) Kabel Konektor 2. Prosedur Praktikum A BC F=A a) Praktikan telah membaca dan mempelajari materi modul praktikum yang akan dilaksanakan b) Sederhanakan persamaan logika berikut ini dengan melengkapi langkah-langkah yang telah diberikan (gunakan peta karnaugh min term) kemudian implementasikan ke dalam papan trainer. Persamaan logika: F = XY + X Z + YZ c) Rangkaian logika yang dihasilkan harus berupa rangkaian dengan 2 buah gerbang AND, satu buah gerbang OR dan 1 buah gerbang NOT d) Gambarkan rangkaian logika yang dihasilkan 10 E l i s a U s a d a

11 Persamaan : F = XY + X Z + YZ 1. Tabel Kebenaran untuk F: 2. Isikan posisi min term X YZ LEMBAR KERJA MODUL I Nama :... NIM :... X Y Z XY X Z YZ F Dilihat dari posisi min term yang didapatkan, tuliskan hasil penggabungan min term, sehingga didapatkan persamaan hasil penyederhanaan: F= Gambarkan rangkaian logika dari F yang sudah disederhanakan! 4. Buat rangkaian menggunakan papan trainer! Apakah rangkaian yang dibuat bekerja dengan baik? (Ya / Tidak ) Diisi oleh asisten praktikum yang mendampingi E l i s a U s a d a

12 Daftar Pustaka [1] Anonim Peta Karnaugh, (Online), ( dasar-teknik-digital/tke_113_handout_peta_karnaugh.pdf, diakses 2 Oktober 2014). [2] Givant, S. & Halmos, P Introduction to Boolean Algebras Springer. [3] Widianto, E. D Rangkaian Logika Optimal: Peta Karnaugh & Rangkaian Multi-Keluaran Kuliah#4 TSK205 Sistem Digital - TA 2011/2012, (Online), ( diakses 2 Oktober 2014). 12 E l i s a U s a d a

13 A. Standar Kompetensi MODUL II RANGKAIAN PENCACAH (COUNTER) Mata Kuliah Semester : Praktikum Teknik Digital : 1 (Satu) Alokasi Waktu : 100 menit Menguasai perancangan rangkaian pencacah sederhana dan mampu merangkai menggunakan papan trainer. B. Kompetensi Dasar 1. Teori JK Flip Flop 2. Teori Rangkaian Pencacah (Counter) 3. Perancangan Rangkaian Pencacah C. Tujuan Pembelajaran Setelah mengikuti praktikum siswa diharapkan dapat: 1. Memahami cara merancang rangkaian pencacah 2. Memahami perbedaan pencacah sinkron dan asinkron D. Materi 1. Register JK Flip Flop Flip-flop adalah rangkaian digital yang digunakan untuk menyimpan satu bit secara semi permanen sampai ada suatu perintah untuk menghapus atau mengganti isi dari bit yang disimpan. Prinsip dasar dari flip-flop adalah suatu komponen elektronika dasar seperti transistor, resistor dan dioda yang di rangkai menjadi suatu gerbang logika yang dapat bekerja secara sekuensial. JK flip-flop atau sering di tulis dengan simbol JK FF merupakan pengembangan dari RS flipflop. JK flip-flop digunakan sebagai komponen dasar suatu counter atau pencacah naik (up counter) ataupun pencacah turun (down counter). Rangkaian dasar JK Flip Flop 13 E l i s a U s a d a

14 2. Rangkaian Pencacah Rangkaian pencacah merupakan rangkaian yang mampu menghitung jumlah pulsa (pulse) masukan. Contoh penggunaan rangkaian pencacah adalah dalam jam digital, timbangan digital dan speedometer. Rangkaian pencacah dapat dibedakan menjadi beberapa jenis: a) Berdasarkan cara pemicuan, rangkaian pencacah dibedakan menjadi pencacah sinkron dan asinkron. Rangkaian pencacah sinkron dipicu secara serempak dengan satu sumber clock dengan susunan flip flop pararel. Sedangkan rangkaian pencacah asinkron, minimal ada salah satu flip-flop yang clock-nya dipicu oleh keluaran flip-flop lain dan susunan flip-flopnya seri, sehingga pemicuan tidak serentak dan mengakibatkan output FF beruntun. b) Berdasarkan arah pencacahan, rangkaian pencacah dibedakan menjadi pencacah naik dan pencacah turun. Pencacah naik mencacah dari kecil ke besar sedangkan pencacah turun mencacah dari besar ke kecil. Suatu rangkaian pencacah dapat dibentuk menggunakan gerbang logika, flip flop maupun register yang dibangun dengan suatu arsitektur dengan umpan balik. Penyusunan suatu pencacah dari suatu rangkaian flip-flop mengikuti urutan perubahan dari output flip-flop yang telah ditentukan melalui suatu tabel pencacah. Untuk menyusun sejumlah flip-flop agar memenuhi urutan perubahan yang telah ditentukan ini tergantung pada macam pencacah, yakni sinkron atau tak sinkron dan jenis flip-flop yang digunakan. Pada modul ini akan dibahas pembuatan rangkaian pencacah sinkron modulo 8. E. Lembar Kerja 1. Alat dan Bahan a) Modul praktikum b) Trainer Kit Leybold c) Kabel Konektor 2. Prosedur Praktikum a) Praktikan telah membaca dan mempelajari materi modul praktikum yang akan dilaksanakan. b) Melengkapi tabel pencacah sinkron modulo 8 c) Melengkapi tabel Kondisi J dan K dari setiap FF pada pencacah sinkron modulo-8 d) Memetakan tabel kondisi JK dalam Karnaugh Map e) Pengelompokkan nilai 1 atau X f) Penyederhanaan Persamaan JK 14 E l i s a U s a d a

15 g) Penggambaran rangkaian pencacah sinkron modulo 8 h) Merangkai rangkaian pencacah sinkron modulo 8 menggunakan trainer Leybold 15 E l i s a U s a d a

16 LEMBAR KERJA MODUL II Nama :... NIM :... Pembuatan rangkaian pencacah sinkron modulo 8. 1) Pertama buat (lengkapi) tabel pencacah sinkron modulo 8 dibawah ini Cacah ke- Q A Q B Q C ) Pelajari tabel input dan output flip flop JK di bawah ini! (Q n dan Q n+1 berturut-turut adalah output sebelum dan sesudah pulsa klok) Tabel 1 J K Q n Q n Tabel 2. Tabel Transisi JK FF Q n Q n+1 J K X X 1 0 X X 0 Agar output dari FF berubah dari 0 0, dari tabel 1 baris ke-1 dan 3 terlihat bahwa harga J harus 0, sedangkan harga dari K boleh 0 atau 1. Hal ini dituliskan pada tabel 2 baris 1 (X = bisa 0 atau 1). Tabel 2 disebut tabel transisi dari JKFF. Jadi dengan demikian kalau urutan perubahan dari output FF telah ditentukan, maka dapat dibuat harga tabel J dan K dari setiap FF untuk setiap harga kombinasi Q A Q B Q C. Dari tabel seperti ini dapat diperoleh persamaan J dan K sebagai fungsi Q A, Q B, dan Q C. 3) Berdasarkan tabel flip flop JK, lengkapi tabel Kondisi J dan K dari setiap FF pada pencacah sinkron modulo-8 di bawah ini 16 E l i s a U s a d a

17 Q C Q C Q C Tabel 3. Kondisi J dan K dari setiap FF pada pencacah sinkron modulo-8 Q A Q B Q C J A K A J B K B J C K C X 0 X 1 X X 1 X X ) Dengan menggunakan Karnaugh Map dari Tabel 3 akan diperoleh pemetan untuk nilai J A, J B, K A dan K B sebagai berikut : Q A Q B Q A Q B X X 0 X X X X 1 X X 1 0 Q A Q B J A Q C Q C Q A Q B K A X X 0 0 X 0 0 X 1 1 X X 1 1 X 1 1 X Q A Q B J B Q C Q A Q B K B X X X X 1 X X X X J c 5) Dari pemetaan Karnaugh diatas, kemudian dibentuk pengelompokan nilai 1 atau X. Dalam melakukan pengelompokkan, gunakan ketentuan: Untuk mengetahui X mana yang dipilih = 1, bentuklah kotak gabungan sebesar-besarnya yang terdiri dari 2 n kotak kecil (n = 0,1,2,3, ) yang berisi 1 atau X. Maka kalau X yang dalam kotak gabungan dipilih = 1, akan diperoleh persamaan sederhana versi Karnaugh Map. Kotak gabungan dapat berjumlah 2 buah atau lebih, dan perlu diketahui bahwa kotak gabungan harus berbentuk persegi. Dengan pemilihan ini dapat dibuktikan persamaan yang diperoleh adalah : J A = K A = Q B Q C dan J B = K B = Q C 6) Sehingga didapatkan gambar rangkaian pencacah modulo-8: K c 17 E l i s a U s a d a

18 7) Buat rangkaian menggunakan papan trainer! Apakah rangkaian yang dibuat bekerja dengan baik? (Ya / Tidak ) Diisi oleh asisten praktikum yang mendampingi 8) Berikut ini gambar rangkaian pencacah modulo 6, buat rangkaian menggunakan papan trainer Apakah rangkaian yang dibuat bekerja dengan baik? (Ya / Tidak ) Diisi oleh asisten praktikum yang mendampingi 18 E l i s a U s a d a

19 A. Standar Kompetensi Mata Kuliah Semester MODUL III RANGKAIAN REGISTER : Praktikum Teknik Digital : 1 (Satu) Alokasi Waktu : 100 menit Mampu membuat rangkaian register sederhana B. Kompetensi Dasar 1. Teori JK flip flop 2. Jenis-jenis register dan cara kerjanya C. Tujuan Pembelajaran Setelah mengikuti praktikum siswa diharapkan dapat: 1. Mampu merangkai suatu rangkaian register dari rangkaian flip-flop dan gerbang logika dasar. 2. Mengamati dan memahami pembentukan dari beberapa jenis register dengan menggunakan JK flip-flop dan beberapa rangkaian logika. 3. Mampu menjelaskan prinsip kerja suatu register. D. Materi Dalam sistem digital, register pada umumnya digunakan untuk menyimpan data sementara untuk kemudian diproses atau diganti data yang baru. Register adalah suatu rangkaian logika yang berfungsi untuk menyimpan data atau informasi. Dengan mempelajari bermacam-macam flip-flop, dapatlah dimengerti bahwa yang disebut register itu tidak lain adalah alat untuk menyimpan data yang berupa satu atau beberapa flip-flop yang digabungkan menjadi satu. Hal itu dimaksudkan, bahwa register yang paling sederhana hanya terdiri dari satu flip-flop saja, yang berarti hanya dapat menyimpan data yang terdiri dari satu bit bilangan biner saja yaitu 0 atau 1. Ada dua cara untuk menyimpan dan mengambil data dari suatu register yaitu cara parallel dan cara serial. Cara paralel berarti data yang terdiri dari beberapa bit dimasukkan ataupun dikeluarkan dari suatu register secara serempak, sedangkan serial berarti bit demi bit dari data yang dimasukkan ataupun dikeluarkan secara beruntun/berderetan. Sehingga berdasarkan operasi ini, register dibedaka menjadi 4 macam yaitu: 19 E l i s a U s a d a

20 a. Register Paralel In Paralel Out (PIPO) b. Register Serial In Paralel Out (SIPO) c. Register Serial In Serial Out (SISO) d. Register Paralel In Serial Out (PISO) E. Lembar Kerja 1. Alat dan Bahan a) Modul praktikum b) Trainer Kit Leybold c) Kabel Konektor 2. Prosedur Praktikum a) Praktikan telah membaca dan mempelajari materi modul praktikum yang akan dilaksanakan. b) Dengan menggunakan trainer digital yang ada, buatlah rangkaian seperti gambar berikut: Gambar 3.1. Register c) Atur nilai S, O, R dan E seperti yang diminta pada lembar kerja, kemudian isi tabel register! 20 E l i s a U s a d a

21 LANGKAH KERJA LEMBAR KERJA MODUL III Nama :... NIM : REGISTER PISO (REGISTER PARALEL IN SERIAL OUT) Pada register ini sinyal clock T berfungsi untuk menggeser data keluaran serial. Kondisi awal sinyal kontrol R=1, dan S=1. Masukan data paralel pada E1-E5 sesuai tabel hasil percobaan, kemudian atur S=0. Amati dan catat keluaran register O1-O5. Register PISO (Paralel Input Serial Output) KLOK INPUT PARALEL OUTPUT REGISTER E5 E4 E3 E2 E1 O5 O4 O3 O2 O REGISTER SIPO (REGISTER SERIAL IN PARALEL OUT) Pada register ini sinyal clock T berfungsi untuk menggeser data masukan serial. Atur kondisi awal sinyal kontrol R=1 dan OE=1, masukan data serial pada SE sesuai pada tabel hasil percobaan yang disinkronkan dengan tombol T (tombol ditekan setelah penyetingan data pada SE). Amati dan catat keluaran dari flip-flop. Aktifkan OE=0, untuk melihat keluaran paralel register. 21 E l i s a U s a d a

22 Register SIPO (Serial Input Paralel Output) KLOK INPUT SERIAL OE OUTPUT FLIP-FLOP OUTPUT REGISTER Q5 Q4 Q3 Q2 Q1 O5 O4 O3 O2 O1 22 E l i s a U s a d a

MODUL MATA KULIAH PRAKTIKUM TEKNIK DIGITAL

MODUL MATA KULIAH PRAKTIKUM TEKNIK DIGITAL telk telk telk LBORTORIUM TEKNIK ELEKTRONIK DN TEKNIK DIGITL Sekolah Tinggi Teknologi Telematika Telkom Jl. D.I. Panjaitan 28 Purwokerto Status Revisi : 00 Tanggal Pembuatan : 5 Desember 204 MODUL MT KULIH

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

PENCACAH (COUNTER) DAN REGISTER

PENCACAH (COUNTER) DAN REGISTER PENCACAH (COUNTER) DAN REGISTER Aplikasi flip-flop yang paling luas pemakaiannya adalah sebagai komponen pembangun pencacah dan register. Pencacah termasuk dalam kelompok rangkaian sekuensial yang merupakan

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

MODUL I GERBANG LOGIKA DASAR

MODUL I GERBANG LOGIKA DASAR MODUL I GERBANG LOGIKA DASAR I. PENDAHULUAN Gerbang logika adalah rangkaian dengan satu atau lebih masukan tetapi hanya menghasilkan satu keluaran berupa tegangan tinggi ( 1 ) dan tegangan rendah ( 0 ).

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel)

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL Nama : ALI FAHRUDDIN NIM : DBC 113 046 Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) JURUSAN/PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS TEKNIK UNIVERSITAS PALANGKA

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

REGISTER DAN COUNTER.

REGISTER DAN COUNTER. REGISTER DAN COUNTER www.st3telkom.ac.id Register Register adalah rangkaian yang tersusun dari satu atau beberapa flip-flop yang digabungkan menjadi satu. Flip-Flop disebut juga sebagai register 1 bit.

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

Register & Counter -7-

Register & Counter -7- Sistem Digital Register & Counter -7- Missa Lamsani Hal 1 Register dan Pencacah Register adalah kumpulan elemen-elemen memori yang bekerja bersama sebagai satu unit. Pencacah (counter) adalah merupakan

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN OUNTER 8.1 Register Dalam elektronika digital seringkali diperlukan penyimpan data sementara sebelum data diolah lebih lanjut. Elemen penyimpan dasar adalah flip-flop. Setiap flip-flop

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 7 REGISTER (PENCATAT) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal beberapa jenis register. 2. Menyusun rangkaian register. 3. Mempelajari cara kerja

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

BAB 6 ALJABAR BOOLE. 1. Definisi Dasar MATEMATIKA DISKRIT

BAB 6 ALJABAR BOOLE. 1. Definisi Dasar MATEMATIKA DISKRIT BAB 6 ALJABAR BOOLE 1. Definisi Dasar Himpunan dan proposisi mempunyai sifat yang serupa yaitu memenuhi hukum identitas. Hukum ini digunakan untuk mendefinisikan struktur matematika abstrak yang disebut

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI

JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI JURUSAN FORMULIR No. Formulir 1 dari 13 Pertemuan Ke : 1 5 6 7 8 9 10 Mahasiswa mengetahui tentang Mahasiswa memiliki penge- Sistem bilangan pada teknik 1. Sistem bilangan desimal Pendahuluan 1. Perkenalan

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counter digunakan untuk berbagai operasi

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

Matematika informatika 1 ALJABAR BOOLEAN

Matematika informatika 1 ALJABAR BOOLEAN Matematika informatika 1 ALJABAR BOOLEAN ALJABAR BOOLEAN Matematika yang digunakan untuk menganalisis dan menyederhanakan Gerbang Logika pada Rangkaian-rangkaian Digital Elektronika. Boolean pada dasarnya

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

Aljabar Boolean. Bahan Kuliah Matematika Diskrit

Aljabar Boolean. Bahan Kuliah Matematika Diskrit Aljabar Boolean Bahan Kuliah Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam 2.1 Definisi Aljabar Boolean Aljabar Boolean dapat didefinisikan secara abstrak dalam beberapa cara. Cara yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya dan operasi operasi yang

Lebih terperinci

Perancangan Sistem Digital. Yohanes Suyanto

Perancangan Sistem Digital. Yohanes Suyanto Perancangan Sistem Digital 2009 Daftar Isi 1 SISTEM BILANGAN 1 1.1 Pendahuluan........................... 1 1.2 Nilai Basis............................. 2 1.2.1 Desimal.......................... 2 1.2.2

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 --

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 -- Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 23/24 STMIK Dumai -- Materi 8 -- Digital Principles and Applications, Leach-Malvino, McGraw-Hill Adhi Yuniarto L.Y. Boolean Algebra. Fasilkom

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Semester 3 Counter Sinkron 2 menit No. LST/PTI/PTI6205/ Revisi: Tgl: 8 September 24 Page 1 of 5 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung

Lebih terperinci

MAKALAH SISTEM DIGITAL

MAKALAH SISTEM DIGITAL MAKALAH SISTEM DIGITAL Konsep Dasar Teorema Boole & De Morgan Disusun Oleh : Anin Rodahad (12131307) Abdurrahman Ar-Rohim (12131299) Bayu Ari Utomo () TEKNIK INFORMATIKA STMIK EL RAHMA YOGYAKARTA Jl. Sisingamangaraja

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL

MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL MODUL TEKNIK DIGITAL MODUL IV ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 2008 RENCANA PELAKSANAAN PEMBELAJARAN MODUL IV ALJABAR BOOLE & RANGKAIAN

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN SEKOLAH TINGGI MANAJEMEN INFORMAA KOMPUTER JAKARTA STIK SATUAN ACARA PERKULIAHAN Mata : SISTEM DIGITAL Kode Mata : DK - 15303 Jurusan / Jenjang : S1 SISTEM KOMPUTER Tujuan Instruksional Umum : Setelah

Lebih terperinci

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014 LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 23 / 24 MODUL 4 REGISTER, COUNTER DAN MEMORI OLEH KELOMPOK B ADE ILHAM FAJRI 5358 FRANKY SETIAWAN DALDIRI 5383 KELAS : B ASISTEN PEMBIMBING RISYANGGI AZMI FAIZIN

Lebih terperinci

Aljabar Boolean dan Peta Karnough

Aljabar Boolean dan Peta Karnough Aljabar Boolean dan Peta Karnough a. Logic Function minimization Pada rangkaian yang cukup rumit, kombinasi variable di logic function yang diperoleh dari hasil table kebenaran biasanya pun cukup banyak.

Lebih terperinci

Aljabar Boolean. Adri Priadana

Aljabar Boolean. Adri Priadana Aljabar Boolean Adri Priadana Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun 854. Boole melihat bahwa himpunan dan logika proposisi mempunyai sifat-sifat yang serupa (kemiripan hukum-hukum

Lebih terperinci

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan Logika Matematika Bab 1: Aljabar Boolean Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan 1 Nilai fungsi Fungsi Boolean dinyatakan nilainya pada setiap variabel yaitu

Lebih terperinci

Aljabar Boolean. Matematika Diskrit

Aljabar Boolean. Matematika Diskrit Aljabar Boolean Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua

Lebih terperinci

SATUAN ACARA PERKULIAHAN

SATUAN ACARA PERKULIAHAN SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital Kode Matakuliah : Semester : 2 (Dua) /Genap Bobot SKS : 2 SKS Program Studi : Teknik Informatika Jenjang : S-1 Dosen : T. Khairuman, M.Si NIDN : 0031017301

Lebih terperinci

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1 Aljabar Boolean Rinaldi Munir/IF25 Mat. Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS)

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) 2.1 TUJUAN - Membuat rangkaian logika Sum of Product dan Product of Sum yang berasar dari gerbang-gerbang

Lebih terperinci

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Dielektrika, ISSN 2086-9487 151 Vol. 2, No. 2 : 151-163, Agustus 2015 PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Multazamar Jan1 1,

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

8. TRANSFER DATA. I. Tujuan

8. TRANSFER DATA. I. Tujuan 8. TRANSFER DATA I. Tujuan 1. Membuat rangkaian transfer data seri dan transfer data secara paralel dengan menggunakan IC yang berisi JK-FF dan D-FF. 2. Mengamati operasi transfer data seri dan dan transfer

Lebih terperinci

BAB VIII COUNTER (PENCACAH)

BAB VIII COUNTER (PENCACAH) EKNIK DIGIAL - COUNER/HAL. BAB VIII COUNER (PENCACAH) Sebuah Flip-flop akan mempunyai dua keadaan yaitu keadaan reset (Q = ) dan set (Q = ). Sehingga untuk sederetan n buah FF akan mempunyai 2 keadaan

Lebih terperinci

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN Dosen Pengampu : Shoffin Nahwa Utama, M.T. Disusun Oleh: MUHAMMAD IBRAHIM NIM : 362015611040 FAKULTAS SAINS DAN TEKNOLOGI TEKNIK

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean 1 Definisi Aljabar Boolean Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan huruf-huruf

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

FLIP-FLOP (BISTABIL)

FLIP-FLOP (BISTABIL) FLIP-FLOP (BISTABIL) Rangkaian sekuensial adalah suatu sistem digital yang keadaan keluarannya pada suatu saat ditentukan oleh : 1. keadaan masukannya pada saat itu, dan 2. keadaan masukan dan/atau keluaran

Lebih terperinci

Review Sistem Digital : Aljabar Boole

Review Sistem Digital : Aljabar Boole JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Aljabar Boole S dan D3 Mata Kuliah : Elektronika Industri 2 x 5 Lembar Kerja Dalam Aljabar Boole, Misalkan terdapat

Lebih terperinci

BAB 6 ALJABAR BOOLE. 1. Definisi Dasar. Teorema 1 MATEMATIKA DISKRIT

BAB 6 ALJABAR BOOLE. 1. Definisi Dasar. Teorema 1 MATEMATIKA DISKRIT BAB 6 ALJABAR BOOLE 1. Definisi Dasar Himpunan dan proposisi mempunyai sifat yang serupa yaitu memenuhi hukum identitas. Hukum ini digunakan untuk mendefinisikan struktur matematika abstrak yang disebut

Lebih terperinci

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean II. Tujuan Percobaan 1. Praktikan memahami antara input dan output pada rangkaian logika AND, OR, NOT, XOR, NAND, NOR dan XNOR. 2. Praktikan

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia MATA KULIAH / KODE Elektronika Digital 3 SKS CAPAIAN PEMBELAJARAN: KODE MK PRASYARAT CSE 214 TEORI PRAKTIK

Lebih terperinci

JENIS-JENIS REGISTER (Tugas Sistem Digital)

JENIS-JENIS REGISTER (Tugas Sistem Digital) JENIS-JENIS REGISTER (Tugas Sistem Digital) Oleh: EKO SARIYANTO 0917041026 SITI KHOLIFAH 1017041042 JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 Register adalah

Lebih terperinci

GERBANG LOGIKA RINI DWI PUSPITA

GERBANG LOGIKA RINI DWI PUSPITA SMKN 3 BUDURN GERBNG LOGIK RINI DWI PUSPIT 207 J L. J E N G G O L O C S I D O R J O 0 BB I PENDHULUN. Deskripsi Relasi logik dan fungsi gerbang dasar merupakan salah satu kompetensi dasar dari mata pelajaran

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

Rangkaian Sekuesial. [Rangkaian Sekuensial] BAB V

Rangkaian Sekuesial. [Rangkaian Sekuensial] BAB V Rangkaian Sekuesial a. Karakteristik Dasar Rangkaian Sekuensial Berdasarkan kemampuannya menyimpan data, rangkaian digital dibedakan menjadi 2 macam :. Rangkaian Kombinasional Pada rangkaian kombinasional,

Lebih terperinci

Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 6 PENCACAH (COUNTER) Oleh : Sumarna, urdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan :. Mempelajari cara kerja pencacah biner sinkron dan tak sinkron, 2. Merealisasikan pencacah biner

Lebih terperinci

MAKALAH TEKNIK DIGITAL

MAKALAH TEKNIK DIGITAL MAKALAH TEKNIK DIGITAL FLIP FLOP DISUSUN OLEH : Bayu Rahmawan 14102012 Moh. Fajar Faisaldy 14102027 SEKOLAH TINGGI TEKNOLOGI TELEMATIKA TELKOM JL. DI. PANJAITAN 128 PURWOKERTO 2014 i KATA PENGANTAR Puji

Lebih terperinci

BAB 4. Aljabar Boolean

BAB 4. Aljabar Boolean BAB 4 Aljabar Boolean 1. PENDAHULUAN Aljabar Boolean merupakan lanjutan dari matakuliah logika matematika. Definisi aljabar boolean adalah suatu jenis manipulasi nilai-nilai logika secara aljabar. Contoh

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter?

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter? BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum melakukan percobaan, ada baiknya kita mempelajari serta memahami setiap percobaan yang akan kita lakukan. Tanpa disadari dalam membuat suatu makalah kita pasti

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

REGISTER. uart/reg8.html

REGISTER.  uart/reg8.html PERTEMUAN 11 REGISTER http://tams-www.informatik.uni-hamburg.de/applets/hades/webdemos/45-misc/30- uart/reg8.html Sasaran Pertemuan 11 Mahasiswa diharapkan mengerti tentang Register yang terdiri dari :

Lebih terperinci

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari II. TINJAUAN PUSTAKA Definisi 2.1 Graf Graf G adalah suatu struktur (V,E) dengan V(G) himpunan tak kosong dengan elemenelemenya disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

DEFINISI ALJABAR BOOLEAN

DEFINISI ALJABAR BOOLEAN ALJABAR BOOLEAN DEFINISI ALJABAR BOOLEAN Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean.

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. Prio Handoko, S. Kom., M.T.I. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. George Boole (ahli matematika asal Inggris) Aljabar yang

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

= = = T R = sifat memori. 2. Monostable. Rangkaian. jadi. C perlahan naik. g muatan. pulsa. Lab Elektronika. terjadi di. Industri. Iwan.

= = = T R = sifat memori. 2. Monostable. Rangkaian. jadi. C perlahan naik. g muatan. pulsa. Lab Elektronika. terjadi di. Industri. Iwan. RANGKAIAN SEKUENSIAL Rangkaian digital jenis sekuensial sangat berbeda dengan jenis kombinatorial. Rangkaian kombinatorial terdiri dari kombinasi gerbang-gerbang dan mempunyai sifat khas yaitu bahwa output

Lebih terperinci