Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Ukuran: px
Mulai penontonan dengan halaman:

Download "Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl"

Transkripsi

1 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : Penerapan Finite tate Machine Untuk Merancang Pengendali Motor tepper Menggunakan Vhdl Arief Budijanto Universitas Widya Kartika arief232@yahoo.com ABTRAK Makalah ini menjelaskan tentang proses pembelajaran mata kuliah perancangan chip digital berbasis proyek yang menerapkan Finite tate machine (FM) sebagai metode untuk merancang pengendali motor stepper menggunakan VHDL. Motor stepper yang digunakan dalam studi kasus ini adalah motor stepper unipolar. Dimana motor stepper tersebut dikendalikan dengan 2 mode, yaitu half-step dan fullstep. Pengendalian pada mode full step terdiri dari 2 cara yaitu dengan kendali phase O dan 2 phase O. Pengendali motor stepper diimplementasikan pada chip Complex Programmable Logic Device (CPLD) dengan seri EPM332ALC44-4. Dari hasil simulasi waktu yang dibutuhkan dari input sampai ke output membutuhkan waktu 3 ns. Kata kunci : Pengendali, Motor tepper, FM, VHDL PEDAHULUA Perancangan Chip Digital merupakan teknologi yang perkembangannya sangat pesat. ehingga dalam dunia pendidikan dibidang elektronika dan komputer perlu dikembangkan metode - metode perancangan chip digital agar lulusan mahasiswa dapat mendukung perkembangan teknologi perancangan chip. Dalam makalah ini akan dijelaskan tentang perancangan chip digital dengan studi kasus perancangan pengendali motor stepper jenis unipolar yang menerapkan finit state machine sebagai metodenya. Finite tate Machine ini merupakan cara untuk memudahkan perancang dalam membuat VHDL coding dengan cara menkonversikan state diagram dalam VHDL coding. Kendali motor stepper yang dirancang menggunakan mode half step dan full step yang disimulasik menggunakan perangkat lunak Max Plus yang merupaka produk dari Altera, Inc. Finite tate Machine istem digital dapat diklasifikasikan sebagai rangkaian kombinasional dan ekuensial (berurutan). Pada sistem digital kombinasional ini nilai output ditentukan oleh nilai input pada kondisi saat ini. edangkan sistem digital sekuensial output tidak hanya tergantung pada kondisi input saat ini, tetapi juga tergantung pada kondisi input sebelumnya, sehingga pada sistem ini dibutuhkan suatu memory (register) untuk menyimpan informasi biner. Diagram blok sistem digital sekuensial diperlihat pada gambar. Finite state machine (FM) dalam perancangan sistem digital merupakan metode yang digunakan untuk merancang rangkaian digital sekuensial. FM dapat diklasifikasikan sebagai Mealy Machine dan Moore Machine (hort, 29). Diagram blok FM ditunjukkan pada gambar 2. Pada mealy machine, output merupakan fungsi dari current state dan nilai dari inputnya. edangkan moore machine, output merupakan fungsi dari current state saja. Inputs Inputs Input Combinational Circuit clock Register Output Gambar. Diagram Blok Rangkaian sekuensial (Mano & Ciletti, 23) ext tate Logic ynchromous reset ext tate Logic ynchromous reset clock Mealy clock Moore Current tate Register (equential) Asynchromous reset Current tate Register (equential) Asynchromous reset Output Logic Output Logic Mealy outputs Moore outputs Gambar 2. Diagram blok FM Mealy & Moore (smit,997) 39

2 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : / / A / B / C A B Tabel 2. Pulsa digital untuk mode full step dengan 2 Phase O T Y Y Y2 Y a. Mealy Machine b. Moore Machine Gambar 3. tate Diagram (hort, 29). Motor tepper Motor stepper adalah salah satu jenis motor dc yang dikendalikan dengan pulsa-pulsa digital. Prinsip kerja motor stepper adalah bekerja dengan mengubah pulsa elektronis menjadi gerakan mekanis diskrit dimana motor stepper bergerak berdasarkan urutan pulsa yang diberikan kepada tiap-tiap koil motor stepper tersebut. Pulsa digital yang diberikan pada koil motor stepper berupa level logika dan yang ditunjukkan pada tabel. dan tabel 2. Tabel. Pulsa digital untuk mode full step dengan Phase O O T Y Y Y2 Y tep tep 2 O O O O O tep tep 2 O O O O tep 3 tep 4 Gambar 5 Putaran Motor tepper Mode Full tep 2 Phase O Tabel 3. Pulsa digital untuk mode full step T Y Y Y2 Y O O tep 3 tep 4 Gambar 4. Putaran Motor tepper Mode Full tep Phase O 4

3 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : O O RT : Masukan pin reset tep tep 2 O DIR MD MD CLK RT tepper Motor Controller Y Y Y2 Y3 O O Gambar. 7 Diagram blok pengendali motor stepper O tep 3 tep 4 edangkan fungsi pin nya ditunjukkan pada tabel 4. Tabel 4. Fungsi chip O O O O tep 5 tep 6 O O tep 7 tep 8 Gambar 6 Putaran Motor tepper Mode Half tep METODOLOGI Metodologi perancangan pengendali motor stepper dengan VHDL meliputi :. Desain diagram blok chip 2. Tabel fungsi chip 3. Desain FM dari tabel fungsi chip 4. Implementasi FM dalam VHDL coding DIR IPUT MD MD RT OUTPUT MODE Full tep Clockwise Phase On Full tep Clockwise 2 Phase O Half tep Clockwise x Unused Full tep Anti Clockwise Pgase O Full tep Anti Clockwise 2 Phase O Half tep Anti Clockwise x x x Condition etelah membuat diagram blok dan tabel fungsi chip, kemdudian dilanjutkan dengan membuat diagram FM pengenddali motor stepper. Diagram tersebut ditunjukkan pada gambar 8. Konfigurasi pin output chip pengendali motor stepper ditunjukkan pada gambar 7. Dimana fungsi pin adalah sebagai berikut: DIR : untuk mengatur arah putaran MD,MD : untuk mengatur mode putaran yaitu half step atau full step CLK : masukan pulsa clock 4

4 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : / / / / / / / F / F / F2 / F3 G / G / / / HAIL DA PEMBAHAA Hasil simulasi VHDL coding dengan mode kendali motor stepper yang berbeda-beda akan dijelaskan melalui gambar diagram waktu dibawah ini. Gambar. Merupakan hasil simulasi kendali motor stepper dengan mode = dan dir =. Motor stepper dikendalikan dengan cara phase O. Pada kondisi awal pin reset berlogika, sehingga dalam konsisi reset yang mengakibatkan output Y =. etelah pin reset diberikan logika maka output Y dalam kondisi normal, yaitu sesuai tabel, dan putaran motor stepper searah jarum jam. G2 / / F3 a. Full tep Phase O b. Full tep 2 Phase O H / / H / / Gambar. Deklarasi Entity VHDL / / / / H2 H3 H4 / / / / Gambr. Merupakan hasil simulasi kendali motor stepper dengan cara phase O dengan kondisi mode = dan dir =, sehingga arah putaran motor stepper berlawanan dengan arah putaran jarum jam. H5 / / H6 / / H7 c. Full tep 2 Phase O Gambar 8. FM Pengendali Motor tepper Implementasi VHDL coding dilakukan dengan cara mentransfer FM dari pengendali motor stepper. Berikut deklarasi entity VHDL ditunjukkan pada gambar 9. ETITY M_tepper I PORT ( reset,dir,clk : I TD_LOGIC; mode : I TD_LOGIC_vector( downto ); Y : OUT TD_LOGIC_vector(3 downto ) ); ED M_tepper; Gambar. Deklarasi Entity VHDL Gambar 2. Merupakan hasil simulasi kendali motor stepper dengan cara 2 phase O, yaitu dengan meberikan logika pada pin mode = dan dir =, sehingga arah putaran motor stepper searah dengan arah putaran jarum jam. Gambar 9. Deklarasi Entity VHDL 42

5 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : Gambar 2. Deklarasi Entity VHDL Gambr 3. Merupakan hasil simulasi kendali motor stepper dengan cara 2 phase O, yaitu dengan memberikan logika pada pin mode = dan dir =, sehingga arah putaran motor stepper berlawanan dengan arah putaran jarum jam. Gambar 5. Deklarasi Entity VHDL Gambar 6. merupakan hasil simulasi kendali motor stepper dengan metode half step dan memberikan logika pada pin mode = dan dir = sehingga arah putaran motor stepper berlawanan dengan arah putaran jarum jam. Tetapi derajat simpangannya lebih kecil setengahnya dari simpangan full step. Gambar 3. Deklarasi Entity VHDL Gambr 4. Merupakan hasil simulasi kendali motor stepper dengan mode = dan dir =, sehingga arah putaran motor stepper berlawanan dengan arah putaran jarum jam. Gambar 6. Deklarasi Entity VHDL Gambar 7. Merupakan hasil simulasi kendali motor stepper dengan mode =. Pada kondisi ini output Y berlogika karena kondisi ini memang dirancang tidak digunakan untuk mengendalikan motor stepper. Gambar 4. Deklarasi Entity VHDL Gambr 5. Merupakan hasil simulasi kendali motor stepper dengan metode half step dan memberikan logika pada pin mode = dan dir = sehingga arah putaran motor stepper searah dengan arah putaran jarum jam. Tetapi derajat simpangannya lebih kecil setengahnya dari simpangan full step. Gambar 7. Deklarasi Entity VHDL Konstruksi pin chip pengendali motor stepper menggunakan CPLD seri EPM332ALC44-4 ditunjuukan pada gambar 8. Dan matriks waktu tunda perambatan sinyal digital (time propagation delay) dari input menuju output 43

6 e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : membutuhkn waktu 3 ns. Matriks waktu tunda ditunjukkan pada gambar 9. DAFTAR PUTAKA Rushton, Andrew, (2), Vhdl For Logic ynthesis, John Wiley & ons, Ltd, United Kingdom. Platt, Charles, (23), Encyclopedia of Electronic Components Volume, O Reilly Media, Inc. 5 Gravenstein Highway orth, ebastopol, CA Iuliana C, Alin D. P, Adrian G, (2), Finite tate Machine Design And Vhdl Coding Techniques, th International Conference On Development And Application ystems, uceava, Romania, May pp Gambar 8. Konstruksi pin chip Pengendali Motor tepper Kavita, Abhilasha, FPGA Based ystem Login ecurity Lock Design Using Finite tate Machine, IOR Journal of Electronics and Communication Engineering, Volume 5, Issue 3 (Mar. - Apr. 23), PP Mano, M. Morris, Ciletti, Michael D, (23), Digital Design With an Introduction to the Verilog HDL, Prentice Hall. hort, Keneth L., (29), VHDL for engineers, Pearson Education, Inc., Upper addle River, ew Jersey. Gambar 9. Matriks Waktu Tunda Perambatan inyal Digital mith, Douglas J., (997), HDL Chip Design, Doone Publications, Madison, AL,UA. KEIMPULA Kesimpulan yang dapat diambil dari simulasi pengendali motor stepper adalah sebagai berikut:. Chip pengendali dapat mengendalikan motor stepper dengan metode full step dan half step. 2. Pada metode full step ada 2 cara pengendalian berdasarkan fasenya, yaitu phase O dan 2 phase O. 3. Chip diimplementasikan pada komponen CPLD seri EPM332ALC Chip membutuhkan waktu tunda perambatan dari input menuju output chip sekitar 3 ns. 44

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

ABSTRAK. Universitas Kristen Maranatha

ABSTRAK. Universitas Kristen Maranatha ABSTRAK Seiring dengan kesibukan masyarakat sekarang ini, usaha untuk memberikan makanan pada ikan sering terlupakan. Oleh karena itu dibuatlah alat untuk meringankan pekerjaan tersebut. Perancangan Sistem

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Perancangan merupakan proses yang kita lakukan terhadap alat, mulai dari rancangan kerja rangkaian hingga hasil jadi yang akan difungsikan. Perancangan dan pembuatan alat merupakan

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Pendahuluan. Prinsip Kerja Motor Stepper

Pendahuluan. Prinsip Kerja Motor Stepper Pendahuluan Motor stepper adalah perangkat elektromekanis yang bekerja dengan mengubah pulsa elektronis menjadi gerakan mekanis diskrit. Motor stepper bergerak berdasarkan urutan pulsa yang diberikan kepada

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB III PERANCANGAN SISTEM PEMROGRAMAN DAN IMPLEMENTASI ROBOT KARTESIAN

BAB III PERANCANGAN SISTEM PEMROGRAMAN DAN IMPLEMENTASI ROBOT KARTESIAN 21 BAB III PERANCANGAN SISTEM PEMROGRAMAN DAN IMPLEMENTASI ROBOT KARTESIAN Rancang bangun robot kontur kartesian ini melibatkan beberapa unsur sistem yang digabung menjadi satu kesatuan yang saling berkaitan

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1 Programmable Logic Controller (PLC) Kemajuan teknologi yang berkembang pesat dewasa ini mengakibatkan industri sebagai produsen/penghasil barang menggunakan cara-cara otomatisasi

Lebih terperinci

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL Tommy NIM : 13507109 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung Jl. Ganesha no. 10 Bandung

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Perangkat Keras Sistem perangkat keras yang digunakan dalam penelitian ini ditunjukkan oleh blok diagram berikut: Computer Parallel Port Serial Port ICSP Level

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

MODUL PENGEMBANGAN MIKROKONTROLER AVR ATMEGA 8535, ATMEGA 16, DAN ATMEGA 32 PROYEK AKHIR

MODUL PENGEMBANGAN MIKROKONTROLER AVR ATMEGA 8535, ATMEGA 16, DAN ATMEGA 32 PROYEK AKHIR MODUL PENGEMBANGAN MIKROKONTROLER AVR ATMEGA 8535, ATMEGA 16, DAN ATMEGA 32 (AVR MICROCONTROLLER ATMEGA 8535, ATMEGA 16, AND ATMEGA 32 DEVELOPMENT-MODULE) PROYEK AKHIR Laporan ini disusun untuk memenuhi

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

TUGAS AKHIR EDHRIWANSYAH NST

TUGAS AKHIR EDHRIWANSYAH NST PERENCANAAN DAN PEMBUATAN KENDALI MOTOR SEBAGAI PENGGERAK PINTU OTOMATIS MASUKAN RFID (RADIO FREQUENCY IDENTIFICATIONS) BERBASIS MIKROKONTROLER AT90S2313 (HARDWARE) TUGAS AKHIR Diajukan untuk melengkapi

Lebih terperinci

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) Arief Budijanto, Chirul Anwar, Nizar

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R BAB 4 RANGKAIAN LOGIKA IGITAL SEKUENSIAL Telah kita pelajari tentang unit logika kombinasional yang keluarannya hanya tergantung pada masukan saat itu atau dengan kata lain keluarannya merupakan fungsi

Lebih terperinci

Kontrol Mesin Bor PCB Otomatis dengan Menggunakan Programmable Logic Controller

Kontrol Mesin Bor PCB Otomatis dengan Menggunakan Programmable Logic Controller Kontrol Mesin Bor PCB Otomatis dengan Menggunakan Programmable Logic Controller Thiang, Handy Wicaksono, David Gunawan Sugiarto Jurusan Teknik Elektro, Universitas Kristen Petra Jl. Siwalankerto 121-131

Lebih terperinci

BAB III ANALISA SISTEM

BAB III ANALISA SISTEM BAB III ANALISA SISTEM 3.1 Gambaran Sistem Umum Pembuka pintu otomatis merupakan sebuah alat yang berfungsi membuka pintu sebagai penganti pintu konvensional. Perancangan sistem pintu otomatis ini merupakan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA Sudah menjadi trend saat ini bahwa pengendali suatu alat sudah banyak yang diaplikasikan secara otomatis, hal ini merupakan salah satu penerapan dari perkembangan teknologi dalam

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

DT-AVR Application Note

DT-AVR Application Note DT-AVR Application Note AN80 Sistem pengendali Bipolar Stepper Motor Oleh: Tim IE Stepper motor seringkali kita gunakan untuk aplikasi robotika, karena poros stepper motor dapat digerakkan dengan sudut

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1. Mikrokontroller AVR Mikrokontroller adalah suatu alat elektronika digital yang mempunyai masukan serta keluaran serta dapat di read dan write dengan cara khusus. Mikrokontroller

Lebih terperinci

PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA. Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar.

PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA. Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar. PENDETEKSI OTOMATIS ARAH SUMBER CAHAYA MATAHARI PADA SEL SURYA Ahmad Sholihuddin Universitas Islam Balitar Blitar Jl. Majapahit no 4 Blitar Abstrak Penerapan teknologi otomatis dengan menggunakan sistem

Lebih terperinci

Elektronika Daya dan Electrical Drives. AC & DC Driver Motor

Elektronika Daya dan Electrical Drives. AC & DC Driver Motor Elektronika Daya dan Electrical Drives AC & DC Driver Motor Driver Motor AC Tujuan : Dapat melakukan pengontrolan dan pengendalian pad motor AC : Motor induksi atau motor asinkron adalah motor arus bolak-balik

Lebih terperinci

II. TINJAUAN PUSTAKA. Pergerakan meja kerja digerakan oleh sebuah motor sebagai penggerak dan poros

II. TINJAUAN PUSTAKA. Pergerakan meja kerja digerakan oleh sebuah motor sebagai penggerak dan poros 46 II. TINJAUAN PUSTAKA A. Penggerak Poros Ulir Pergerakan meja kerja digerakan oleh sebuah motor sebagai penggerak dan poros ulir sebagai pengubah gaya puntir motor menjadi gaya dorong pada meja kerja

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06 LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EKA/0 ARIF NUR MAJID EKA/0 AULIADI SIGIT H EKA/0 POLITEKNIK NEGERI SEMARANG 009 PERCOBAAN JUDUL : MONOSTABLE MULTIVIBRATOR(ONE SHOT) TUJUAN :. Mahasiswa

Lebih terperinci

SISTEM KENDALI LIFT 3 LANTAI MENGGUNAKAN PLC TWIDO

SISTEM KENDALI LIFT 3 LANTAI MENGGUNAKAN PLC TWIDO SISTEM KENDALI LIFT 3 LANTAI MENGGUNAKAN PLC TWIDO Tugas Akhir Diajukan untuk Memenuhi Salah Satu Persyaratan Dalam Menyelesaikan Pendidikan Strata 1 Program Studi Teknik Elektro Fakultas Teknik Universitas

Lebih terperinci

Memprogram Interface Motor

Memprogram Interface Motor BAGIAN 1 Tujuan Pembelajaran Umum: 1. Mahasiswa trampil memprogram interface motor Tujuan Pembelajaran Khusus: 1. Mahasiswa memahami dasar-dasar interface motor stepper 2. Mahasiswa memahami pemrograman

Lebih terperinci

Kajian Awal Sistem Kontrol Cold Storage Multi-Fungsi Menggunakan Perangkat Lunak Zeliosoft

Kajian Awal Sistem Kontrol Cold Storage Multi-Fungsi Menggunakan Perangkat Lunak Zeliosoft Kajian Awal Sistem Kontrol Cold Storage Multi-Fungsi Menggunakan Perangkat Lunak Zeliosoft Apip Badarudin Jurusan Teknik Refrigerasi dan Tata Udara Politeknik Negeri Bandung Jl. Gegerkalong Hilir, Ds Ciwaruga,

Lebih terperinci

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia KOMPONEN INTERFACING Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia Pendahuluan Dalam bab ini akan dibahas tujuan perkuliahan, komponen komponen input/output

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

KENDALI LOGIKA FUZZY PADA PENGATURAN LAMPU LALU LINTAS BERDASARKAN URGENCY DAN STOP DEGREE

KENDALI LOGIKA FUZZY PADA PENGATURAN LAMPU LALU LINTAS BERDASARKAN URGENCY DAN STOP DEGREE KENDALI LOGIKA FUZZY PADA PENGATURAN LAMPU LALU LINTAS BERDASARKAN URGENCY DAN STOP DEGREE Fitria Suryatini Program Studi Teknik Elektro Fakultas Teknik Universitas Islam 45 (UNISMA) E-mail: fitriasuryatini88@gmail.com

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

KENDALI POSISI MENGGUNAKAN FUZZY LOGIC BERBASIS PROGRAMMABLE LOGIC CONTROLLER

KENDALI POSISI MENGGUNAKAN FUZZY LOGIC BERBASIS PROGRAMMABLE LOGIC CONTROLLER KENDALI POSISI MENGGUNAKAN FUZZY LOGIC BERBASIS PROGRAMMABLE LOGIC CONTROLLER Hany Ferdinando 1) Handy Wicaksono 1) Ricky Mintaraga 2) 1) Jurusan Teknik Elektro, Universitas Kristen Petra Surabaya, email:

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Setelah tahap perancangan hingga terciptanya sebuah alat maka tahap selanjutnya adalah pengukuran dan pengujian. Langkah ini ditempuh agar dapat diketahui karakteristik

Lebih terperinci

Integrasi Elektronika, Mekanika dan Perangkat Lunak pada CNC Rakitan

Integrasi Elektronika, Mekanika dan Perangkat Lunak pada CNC Rakitan Integrasi Elektronika, Mekanika dan Perangkat Lunak pada CNC Rakitan Djoko Untoro Suwarno Program Studi Teknik Elektro Universitas Sanata Dharma Kampus III, Jl. Paingan, Maguwoharjo, Sleman 55002, Indonesia

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Bab 2. Landasan Teori

Bab 2. Landasan Teori 6 Bab 2 Landasan Teori 2.1 Sistem Kontrol Kata kontrol atau pengendalian mempunyai arti mengatur, mengarahkan dan memerintah. Dengan kata lain bahwa sistem pengendalian adalah susunan komponen - komponen

Lebih terperinci

DASAR MOTOR STEPPER. I. Pendahuluan.

DASAR MOTOR STEPPER. I. Pendahuluan. DASAR MOTOR STEPPER I. Pendahuluan Motor stepper adalah perangkat elektromekanis yang bekerja dengan mengubah pulsa elektronis menjadi gerakan mekanis diskrit. Motor stepper bergerak berdasarkan urutan

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

BAB 3 PERANCANGAN SISTEM PENGENAL SUARA

BAB 3 PERANCANGAN SISTEM PENGENAL SUARA BAB 3 PERANCANGAN SISTEM PENGENAL SUARA 3.1 Perangkat Keras yang Digunakan Untuk menunjang perancangan sistem pengenalan suara, maka digunakan perangkat keras ( Hardware ) dengan spesifikasi sebagai berikut

Lebih terperinci

Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller

Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller Implementasi Kendali Logika Fuzzy pada Pengendalian Kecepatan Motor DC Berbasis Programmable Logic Controller Thiang, Resmana, Fengky Setiono Jurusan Teknik Elektro Universitas Kristen Petra Jl. Siwalankerto

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

4. BAB IV PENGUJIAN DAN ANALISIS. pengujian simulasi open loop juga digunakan untuk mengamati respon motor DC

4. BAB IV PENGUJIAN DAN ANALISIS. pengujian simulasi open loop juga digunakan untuk mengamati respon motor DC 4. BAB IV PENGUJIAN DAN ANALISIS 4.1 Pengujian Open Loop Motor DC Pengujian simulasi open loop berfungsi untuk mengamati model motor DC apakah memiliki dinamik sama dengan motor DC yang sesungguhnya. Selain

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

de KITS Application Note AN18 - How 2 Use de KITS SPC Stepper Motor with StarTech PPI Card

de KITS Application Note AN18 - How 2 Use de KITS SPC Stepper Motor with StarTech PPI Card de KITS Application ote A18 - How 2 Use de KITS SPC Stepper Motor with StarTech PPI Card oleh: Tim IE Mengikuti A17 (How 2 Use de KITS Relay Board with StarTech PPI Card), Application ote (A) ini disusun

Lebih terperinci

BAB III RANGKAIAN PENGENDALI DAN PROGRAM PENGENDALI SIMULATOR MESIN PEMBEGKOK

BAB III RANGKAIAN PENGENDALI DAN PROGRAM PENGENDALI SIMULATOR MESIN PEMBEGKOK BAB III RANGKAIAN PENGENDALI DAN PROGRAM PENGENDALI SIMULATOR MESIN PEMBEGKOK Pada bab ini dibahas tentang perangkat mekanik simulator mesin pembengkok, konstruksi motor DC servo, konstruksi motor stepper,

Lebih terperinci

MODUL PEMANFAATAN JALUR KOMUNIKASI RS 485 UNTUK SIMULASI KENDALI JARAK JAUH PLC MASTER K 10S1

MODUL PEMANFAATAN JALUR KOMUNIKASI RS 485 UNTUK SIMULASI KENDALI JARAK JAUH PLC MASTER K 10S1 MODUL PEMANFAATAN JALUR KOMUNIKASI RS 485 UNTUK SIMULASI KENDALI JARAK JAUH PLC MASTER K 10S1 Edhy Andrianto L2F 303438 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Diponegoro ABSTRAK Pengaturan

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

KATA PENGANTAR Sistem Mikroprosesor ( Implementasi Pada Mikrokontroler 8 Bit

KATA PENGANTAR Sistem Mikroprosesor ( Implementasi Pada Mikrokontroler 8 Bit SISTEM MIKROPROSESOR, oleh I Wayan Sutaya, S.T., M.T. Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id Hak Cipta

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Perancangan sistem didasarkan pada tujuan dan kebutuhan sistem yang ingin dicapai, sehingga kebutuhan akan komponen-komponen sistem dapat diperhitungkan. Mengacu pada perumusan

Lebih terperinci

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis Pertemuan ke 4 1 BAB III Sintesis Rangkaian Sekuensial Deskripsi Pada bab ini akan dibahas tentang prosedur sintesis, sintesis diagram keadaan, rangkaian memori terbatas. Manfaat Memberikan kompetensi

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro ,, TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah, Sebelumnya dibahas elemen rangkaian sekuensial berupa flip-flop dan latch yang mampu menyimpan informasi

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

Motor Stepper. Nuryono S.W.,S.T.,M.Eng. Sistem Berbasis Mikroprosesor 1

Motor Stepper. Nuryono S.W.,S.T.,M.Eng. Sistem Berbasis Mikroprosesor 1 Motor Stepper Nuryono S.W.,S.T.,M.Eng. Sistem Berbasis Mikroprosesor 1 Motor Stepper Motor stepper adalah perangkat elektromekanis yang bekerja dengan mengubah pulsa elektronis menjadi gerakan mekanis

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA

PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA ISSN: 1693-693 93 PENGENDALI MOTOR INDUKSI SATU FASA DENGAN INVERTER UPWM BERBASIS FPGA Joko Purwanto 1, Andi Martanto 2, Tole Sutikno 3 1 LCM Engineering Department, PT Casio Electronic Indonesia Jln.

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB 2 LANDASAN TEORI. robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam

BAB 2 LANDASAN TEORI. robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam BAB 2 LANDASAN TEORI 2.1 Jenis Jenis Motor DC Motor DC merupakan jenis motor yang paling sering digunakan di dalam dunia robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam

Lebih terperinci

Robot Bergerak Penjejak Jalur Bertenaga Sel Surya

Robot Bergerak Penjejak Jalur Bertenaga Sel Surya Robot Bergerak Penjejak Jalur Bertenaga Sel Surya Indar Sugiarto, Dharmawan Anugrah, Hany Ferdinando Jurusan Teknik Elektro, Fakultas Teknologi Industri, Universitas Kristen Petra Email: indi@petra.ac.id,

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

Kontrol Fuzzy Takagi-Sugeno Berbasis Sistem Servo Tipe 1 Untuk Sistem Pendulum Kereta

Kontrol Fuzzy Takagi-Sugeno Berbasis Sistem Servo Tipe 1 Untuk Sistem Pendulum Kereta Kontrol Fuzzy Takagi-Sugeno Berbasis Sistem Servo Tipe Untuk Sistem Pendulum Kereta Helvin Indrawati, Trihastuti Agustinah Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi Sepuluh Nopember

Lebih terperinci

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN...

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN... DAFTAR ISI Halaman HALAMAN PENGESAHAN... i ABSTRAKSI... ii KATA PENGANTAR... iii DAFTAR ISI... v DAFTAR TABEL... x DAFTAR GAMBAR... xi DAFTAR LAMPIRAN... xiv DAFTAR ISTILAH DAN SINGKATAN... xv BAB I PENDAHULUAN

Lebih terperinci

BAB III PERANCANGAN ALAT. eletronis dan software kontroler. Konstruksi fisik line follower robot didesain

BAB III PERANCANGAN ALAT. eletronis dan software kontroler. Konstruksi fisik line follower robot didesain BAB III PERANCANGAN ALAT 3.1. Konstruksi Fisik Line Follower Robot Konstruksi fisik suatu robot menjadi dasar tumpuan dari rangkaian eletronis dan software kontroler. Konstruksi fisik line follower robot

Lebih terperinci

PENGGULUNG KUMPARAN DIGITAL DENGAN KENDALI MIKROKONTROLLER

PENGGULUNG KUMPARAN DIGITAL DENGAN KENDALI MIKROKONTROLLER PENGGULUNG KUMPARAN DIGITAL DENGAN KENDALI MIKROKONTROLLER TUGAS AKHIR Disusun Oleh : Emmanuel Setiawan Susanto 02.50.0029 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS KATOLIK SOEGIJAPRANATA

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN SISTEM

BAB IV ANALISA DAN PENGUJIAN SISTEM BAB IV ANALISA DAN PENGUJIAN SISTEM 4.1 Pengujian Perangkat Keras (Hardware) Pengujian perangkat keras sangat penting dilakukan karena melalui pengujian ini rangkaian-rangkaian elektronika dapat diuji

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci