DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

Ukuran: px
Mulai penontonan dengan halaman:

Download "DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)"

Transkripsi

1 DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN: Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal Computer, telephone cellular and high speed data communication equipment. To follow this development, electronics companies have designed and produce new product. One of these innovations is Programmable Logic Devices (PLD) technology. It is a technology to change function of IC digital logic using programming. Many of Programmable Logic Device (PLD) can be used to programming logic using single chip of integrated circuit (IC). Programmable Logic Devices (PLD) technology is applied using IC PAL 22V10 to design decoder biner to decimal. Keyword: Programmable Logic Devices (PLD), decoder, sevensegment, IC PAL 22V10 PENDAHULUAN Pada pertengahan 1990, industri elektronika mengalami perkembangan yang pesat dalam personal computer, telepon seluler dan peralatan komunikasi data dengan kecepatan tinggi. Untuk persaingan pasar, produsen membuat produk dengan meningkatkan fungsi, penampilan, biaya rendah, konsumsi daya yang rendah dan ukuran yang kecil. Untuk memenuhi kebutuhan ini, produsen menciptakan sistem yang komplek dengan pemakain IC yang lebih sedikit dan ukuran PCB yang lebih kecil. Berbagai teknologi terpadu digunakan seperti proses submikron semikonduktor, teknologi PCB, dan pemaksimalan penggunaan permukaan PCB. Penunjang design digunakan oleh para designer berupa Electronic Design Automation (EDA) tools. Kondisi pasar ini membuat metodologi modern dalam design dan tes digunakan, antara lain Programmable Logic Device (PLD). Untukmengikuti perkembangan ini, perusahaan elektronika telah mendesain dan membuat produk baru. Untuk memenuhi tuntutan ini, engineers dan teknisi berusaha untuk membuat penemuan yang membantu mereka membuat prototype rangkaian digital dan mengevaluasi kemajuannya dalam waktu yang lama. Salah satunya adalah teknologi Programmable Logic Devices (PLD) yang merupakan IC digital logic yang bisa dirubah fungsinya melalui pemrograman dan seperti diindustri, akan dapat dilihat bagaimana mudahnya membuat rangkaian digital menggunakan PLD. Perumusan Masalah Bagaimana merancang dan membuat dekoder biner ke desimal dengan tampilan sevensegment berbasis Elektron: Vol 2 No. 1, Edisi Juni

2 Programmable Logic Device (PLD) menggunakan IC PAL 22V10. Tujuan 1. Merancang dan membuat dekoder biner ke desimal dengan tampilan sevensegment berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V Menganalisis hasil teknologi Programmable Logic Device (PLD) untuk dekoder biner ke desimal dengan tampilan sevensegment. Landasan Teori Berbagai Programmable Logic Device (PLD) dapat digunakan untuk logika pemrograman yang banyak dengan hanya menggunakan satu IC. Keuntungannya adalah kefleksibelannya dalam penggunaan dengan skedul yang lebih ketat, untuk penggunaan pada produk dengan volume yang kecil dan untuk pengaktifan awal sebuah produk meskipun digunakan pada high volume. Single PLD terdiri dari gerbang logika dalam jumlah yang banyak, termasuk device penghubung dimana semua device ini berada dalam satu IC. Dengan menggunakan PC, logika program dapat dibuat dengan HDL menggunakan text editor atau gambar sederhana dari logika rangkaian dengan menggunakan schematic editor. HDL atau schematic selanjutnya decompile dengan program untuk membuat detail dari rangkaian logika yang akan menghasilkan output dari rancangan yang yang telah dibuat pada HDL atau skematik. Operasi dari rangkaian ini selanjutnya dapat disimulasikan untuk meyakinkan bahwa output sesuai dengan yang diinginkan. Jika simulasi rangkaian telah sesuai, maka desain selanjutnya didownload melalui parallel port ke PLD. Switch dihubungkan ke input dan LED ke output untuk mencoba test akhir pada prototype. Metode pembuatan programmable logic dengan prototype ini mempunyai keuntungan : 1. Dengan pengurangan penggunaan kabel seminim mungkin, prototype dapat dibuat, ditest dan dimodifikasi secepat mungkin 2. Kesalahan dalam penggunaan kabel dapat dihindari 3. Experiment dengan berbagai type IC digital dapat dilakukan tanpa harus menyimpan type IC yang diperlukan 4. Rancangan rangkaian bisa disimpan sebagai file electronic dalam PC dan digunakan lagi begitu diperlukan. 5. Karena PLD dapat digunakan berulang-ulang, modifikasi dapat dilakukan dengan mengubah rangkaian pada PC dengan mudah dan rancangan baru dapat didownload lagi ke PLD Gambar 1 Konstruksi rangkaian PLD 40 Elektron: Vol 2 No. 1, Edisi Juni 2010

3 1. Salah satu contoh IC yang menggunakan teknologi PLD adalah IC22V10. IC 22V10 terdiri dari 24 pin dengan pin 12 berfungsi sebagai ground dan pin 24 berfungsi sebagai catu daya 5 volt. Pin 1 berfungsi sebagai clock atau input dan pin 2 sampai 13 (kecuali pin 12) berfungsi sebagai input saja. Pin 14 sampai pin 23 berfungsi sebagai input atau output. Bahasa pemograman yang digunakan adalah Warp 4.2. Salah satu contoh piranti decoder yang paling banyak digunakan adalah decoder biner code decimal (BCD) dengan tampilan sevensegment. METODOLOGI PENELITIAN Perancangan dan Pembuatan Perangkat Keras PC Downloader Gambar 2 Konfigurasi IC PAL 22V10 Decoder atau pengurai sandi (kode) atau pendekode adalah suatu piranti yang dapat mengubah suatu system bilangan biner yang terdapat pada bagian masukan, menjadi system bilangan yang lainnya (decimal, contohnya) yang terdapat pada bagian keluarannya. Proses pengubahannya disebut decoding. Pada hakekatnya, decoder berfungsi sebagai penerjemah sandi. Pada masukan decoder harus berupa system bilangan biner. Jadi, pada hakikatnya, bagian masukan dari decoder adalah system bilangan biner yang hanya dimengerti oleh computer, sedangkan bagian keluaran dari decoder biasanya menggunakan code dengan system bilangan yang biasa digunakan oleh manusia sehari-hari. Input : - Switch -multivibrator astable PAL22V10 PLD Trainer Output : - led - SevenSegment Gambar 3 Diagram blok hardware 1. Personal Computer (PC), berfungsi sebagai tempat pembuatan program dengan menggunakan bahasa pemograman Warp 4.2 dan simulasi hasil pemograman. 2. Downloader,berfungsi untuk memindahkan data hasil pemograman dari personal computer (PC) ke IC PAL 22V Input switch berfungsi sebagai input logic. 4. Multivibrator astable berfungsi sebagai pembangkit pulsa/clock untuk mengubah data. Elektron: Vol 2 No. 1, Edisi Juni

4 +5V a b c d e f g 4,7K C 10 0n Q2 Q1 V Q0 Library ieee; Use ieee.std_logic_1164.all; Use work.std_arith.all Entity counter7segment is port ( Clk,reset: in std_logic; q: buffer std_logic_vector(2 downto 0); d: out std_logic_vector(6 downto 0)); End counter7segment; Architectuire behavioral of counter7segment is Begin Counter: process (clk,reset) 42 Elektron: Vol 2 No. 1, Edisi Juni 2010

5 begin If reset= 0 then q<= 000 ; elsif(clk event and clk= 1 )then q<=q + 1; end if; end process counter; tujuhsegment: process(q,reset) begin if reset= 0 then d<= ; else case q is when 000 =>d<= ; when 001 =>d<= ; when 010 =>d<= ; when 011 =>d<= ; when 100 =>d<= ; when 101 =>d<= ; when 110 =>d<= ; when 111 =>d<= ; when others =>d<= ; end case; end if; end process tujuhsegment; end behavioral; HASIL Gambar 7 Hasil simulasi dekoder biner ke desimal menggunakan NOVA Tabel 1 Hasil pengujian decoder biner ke desimal dengan tampilan 7segment Desimal Biner Sevensegment Q 2 Q 1 Q 0 g f e d c b a PEMBAHASAN Berdasarkan hasil test fisik rangkaian, dapat dibuktikan bahwa teori mengenai decoder biner ke desimal terbukti kebenarannya. Dan dapat juga diperkuat kebenarannya dengan hasil simulasi dan secara pengujian secara perangkat keras yang terlihat pada tabel di atas. Elektron: Vol 2 No. 1, Edisi Juni

6 Untuk clock pertama dengan data biner 000 maka bilangan desimal yang tampil pada 7segment adalah 0. Untuk clock kedua dengan data biner 001 maka bilangan desimal yang tampil pada 7segment adalah 1. Untuk clock ketiga dengan data biner 010 maka bilangan desimal yang tampil 2. Untuk clock keempat dengan data biner 011 maka bilangan desimal yang tampil pada 7segment adalah 3. Untuk clock kelima dengan data biner 100 maka bilangan desimal yang tampil pada 7segment adalah 4. Untuk clock keenam dengan data biner 101 maka bilangan desimal yang tampil pada 7segment adalah 5. Untuk clock ketujuh dengan data biner 110 maka bilangan desimal yang tampil pada 7segment adalah 6. Untuk clock kedelapan dengan data biner 111 maka bilangan desimal yang tampil pada 7segment adalah 7. Dari pembahasan diatas dapat kita lihat bahwa hasil rancangan decoder biner ke desimal dengan tampilan 7segment dengan menggunakan teknologi Programmable Logic Device (PLD) yang kita buat telah sesuai dengan yang kita harapkan yaitu sesuai dengan spesifikasi dari IC SIMPULAN Dengan menggunakan IC PAL 22V10 kita dapat mengaplikasikan teknologi Programmable Logic Device (PLD) untuk dekoder biner ke desimal sebagai pengganti dari IC DAFTAR PUSTAKA Kevin Skahill., 1997, VHDL for Programmable Logic, Addison Wesley Neil H.E. Weste, 2005, CMOS VLSI Design, Addison Wesley Nigel P. Cook, 2004, Practical Digital Electronics, Prentice Hall Stephen Brown, 2000, Digital logic of Fundamentals With VHDL Design, McGraw-Hill 44 Elektron: Vol 2 No. 1, Edisi Juni 2010

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Pintu Portal Otomatis Berbasis Teknologi Programmable Logic Device (PLD) Automatic Portal Base on Programmable Logic Device (PLD) Technology

Pintu Portal Otomatis Berbasis Teknologi Programmable Logic Device (PLD) Automatic Portal Base on Programmable Logic Device (PLD) Technology Pintu Portal Otomatis Berbasis Teknologi Programmable Logic Device (PLD) Automatic Portal Base on Programmable Logic Device (PLD) Technology Muhammad Irmansyah, Yul Antonisfia Jurusan Teknik Elektro, Politeknik

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Volume 10 No 1, April 2017 Hlm. 44-50 ISSN 0216-9495 (Print) ISSN 2502-5325 (Online) Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Teguh Arifianto Program Studi Teknik

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

PENCACAH. Gambar 7.1. Pencacah 4 bit

PENCACAH. Gambar 7.1. Pencacah 4 bit DIG 7 PENCACAH 7.. TUJUAN. Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. 2. Mengenal beberapa jenis IC pencacah. 7.2. TEORI

Lebih terperinci

ANALISA RANGKAIAN ALAT PENGHITUNG JUMLAH MOBIL PADA PELATARAN PARKIR. Noveri Lysbetti Marpaung

ANALISA RANGKAIAN ALAT PENGHITUNG JUMLAH MOBIL PADA PELATARAN PARKIR. Noveri Lysbetti Marpaung ANALISA RANGKAIAN ALAT PENGHITUNG JUMLAH MOBIL PADA PELATARAN PARKIR Noveri Lysbetti Marpaung Staf Pengajar Jurusan Teknik Elektro, Fakultas Teknik,, Universitas Riau. Kampus: Binawidya km. 12,5 Simpang

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN BAB IV PENGUJIAN DAN ANALISA RANGKAIAN 4.1 Pengujian Pengujian rangkaian skematik ini dilakukan untuk melihat kinerja sistem yang telah di rancang berdasarkan deskripsi kerja alat yang diinginkan. Apabila

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA DIGITAL VOLTMETER TRAINER AS A LEARNING MEDIA OF DIGITAL

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

7.1. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF.

7.1. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. PERCOBAAN DIGITAL 7 PENCACAH (COUNTER) 7.. TUJUAN Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. 7.2. TEORI DASAR Pencacah

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI PLC (Programable Logic Control) adalah kontroler yang dapat diprogram. PLC didesian sebagai alat kontrol dengan banyak jalur input dan output. Pengontrolan dengan menggunakan PLC

Lebih terperinci

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output BAB IV HASIL DAN PEMBAHASAN 4.1 Pengukuran Alat Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output pin kaki masing-masing

Lebih terperinci

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU Adhe Ninu Indriawan, Hendi Handian Rachmat Subjurusan

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB VI ENCODER DAN DECODER

BAB VI ENCODER DAN DECODER BAB VI ENCODER DAN DECODER 6.1. TUJUAN EKSPERIMEN Memahami prinsip kerja dari rangkaian Encoder Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Memahami prinsip kerja dari rangkaian Decoder

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35

RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35 POLITEKNOLOGI VOL. 9, NOMOR 2, MEI 2010 RANCANG BANGUN PENGUKUR DAN PENGENDALI SUHU BERBASIS MIKROKONTROLER AT 89S51 DAN SENSOR SUHU LM 35 Benny dan Nur Fauzi Soelaiman Jurusan Teknik Elektro, Politeknik

Lebih terperinci

BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107

BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107 BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107 Berkaitan dengan pembuatan alat percobaan efek fotolistrik, diperlukan sebuah alat ukur yang bisa mengukur arus dan tegangan DC dengan polarisasi positif

Lebih terperinci

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur 6 BAB II PENDEKATAN PEMECAHAN MASALAH A. Tombol Kuis dengan Pengatur dan Penampil Nilai Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur sebagai berikut: 1. tombol pengolah

Lebih terperinci

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR KATA PENGANTAR Puji syukur kehadirat Tuhan Yang Maha Esa yang telah melimpahkan rahmat dankarunianya sehingga dapat menyelesaikan makalah elektronika mengenai encoder dandecoder.dalam pembuatan makalah

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini dilakukan di Laboratorium Terpadu

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini dilakukan di Laboratorium Terpadu 37 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian dan perancangan tugas akhir ini dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung dan dilaksanakan mulai bulan Maret 2012 sampai

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT 21 BAB III PERANCANGAN ALAT 3.1 Perancangan Alat Perancangan merupakan suatu tahap yang sangat penting dalam pembuatan suatu alat, sebab dengan menganalisa komponen yang digunakan maka alat yang akan dibuat

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

Rangkaian Adder dengan Seven Segment

Rangkaian Adder dengan Seven Segment Rangkaian Adder dengan Seven Segment Diajukan untuk memenuhi kelulusan mata kuliah Teknik Rangkaian Terintegrasi Dosen : Ni matul Ma muriyah, M.Eng Disusun oleh: Thursy Rienda Aulia Satriani (1221009)

Lebih terperinci

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) Arief Budijanto, Chirul Anwar, Nizar

Lebih terperinci

ABSTRAK. Universitas Kristen Maranatha

ABSTRAK. Universitas Kristen Maranatha ABSTRAK Sistem sensor infra merah terdiri dari LED infra merah dan fotodioda. Fotodioda merupakan detektor cahaya infra merah yang dibantu penguat transistor. Dalam perancangan ini digunakan untuk mendeteksi

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/04 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

DAFTAR ISI ABSTRAK... KATA PENGANTAR... DAFTAR ISI... DAFTAR GAMBAR... DAFTAR TABEL... BAB I PENDAHULUAN.. 1

DAFTAR ISI ABSTRAK... KATA PENGANTAR... DAFTAR ISI... DAFTAR GAMBAR... DAFTAR TABEL... BAB I PENDAHULUAN.. 1 ABSTRACTION Technological growth of electronics which progressively go forward have aimed to technology microcontroller, that is a electronic component which can work as according to program which is filled

Lebih terperinci

Rancangan Rangkaian Simulasi Luxmeter Dengan Menggunakan Sensor Light Dependent Resistor.. I Kadek Widiantara *, I Wayan Supardi, Nyoman Wendri

Rancangan Rangkaian Simulasi Luxmeter Dengan Menggunakan Sensor Light Dependent Resistor.. I Kadek Widiantara *, I Wayan Supardi, Nyoman Wendri RANCANGAN RANGKAIAN SIMULASI LUXMETER DENGAN MENGGUNAKAN SENSOR LIGHT DEPENDENT RESISTOR (LDR) BERBASIS MIKROKONTROLER AT89S52 DENGAN PROGRAM PROTEUS 7.0 Jurusan Fisika, Fakultas Matematika dan Ilmu Pengetahuan

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. Sabran 1*, Muliadi 2 1,2 Dosen PTA FT Universitas Negeri Makassar * sabran_fh66@yahoo.com ABSTRAK Penelitian

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC

IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC Wahyu Sakti G. I * Siti Sendari * Abstrak: Berdasarkan hasil studi, tempat pelayanan umum yang dilayani dengan sistem loket, sering menghadapi masalah

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

BAB III PERENCANAAN. 3.1 Perencanaan kerja alat Secara Blok Diagram. Rangkaian Setting. Rangkaian Pengendali. Rangkaian Output. Elektroda. Gambar 3.

BAB III PERENCANAAN. 3.1 Perencanaan kerja alat Secara Blok Diagram. Rangkaian Setting. Rangkaian Pengendali. Rangkaian Output. Elektroda. Gambar 3. 27 BAB III PERENCANAAN 3.1 Perencanaan kerja alat Secara Blok Diagram Power Supply Rangkaian Setting Indikator (Led) Rangkaian Pengendali Rangkaian Output Line AC Elektroda Gambar 3.1 Blok Diagram Untuk

Lebih terperinci

III. METODE PENELITIAN

III. METODE PENELITIAN III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilakukan di Laboratorium Teknik Kendali Jurusan Teknik Elektro Fakultas Teknik Universitas Lampung yang dilaksanakan mulai dari bulan

Lebih terperinci

Perancangan Alat Peraga Papan Catur pada Layar Monitor. Samuel Setiawan /

Perancangan Alat Peraga Papan Catur pada Layar Monitor. Samuel Setiawan / Perancangan Alat Peraga Papan Catur pada Layar Monitor Samuel Setiawan / 0522083 Email : juve_samz07@yahoo.com Jurusan Teknik Elektro, Fakultas Teknik, Jl. Prof. Drg. Suria Sumantri, MPH. No. 65, Bandung,

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

BAB III METODE PENELITIAN. baik pada perangkat keras maupun pada komputer. Buffer. Latch

BAB III METODE PENELITIAN. baik pada perangkat keras maupun pada komputer. Buffer. Latch BAB III METODE PENELITIAN Metode penelitian yang digunakan dalam perancangan perangkat keras adalah studi kepustakaan berupa data-data literatur dari masing-masing komponen, informasi dari internet dan

Lebih terperinci

REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI

REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI REALISASI ERROR-CORRECTING BCH CODE MENGGUNAKAN PERANGKAT ENKODER BERBASIS ATMEGA8535 DAN DEKODER MENGGUNAKAN PROGRAM DELPHI Disusun Oleh : Reshandaru Puri Pambudi 0522038 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan BAB 1 PENDAHULUAN 1.1 Latar Belakang Pada waktu sekarang ini teknologi mikroprosesor terus berkembang sejalan dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan video juga

Lebih terperinci

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : 247-772 Penerapan Finite tate Machine Untuk Merancang Pengendali Motor tepper Menggunakan Vhdl Arief Budijanto Universitas Widya Kartika Email : arief232@yahoo.com

Lebih terperinci

RANCANG BANGUN LOGIC ANALYZER MENGGUNAKAN ATMEGA16 BERBANTUAN PC

RANCANG BANGUN LOGIC ANALYZER MENGGUNAKAN ATMEGA16 BERBANTUAN PC RANCANG BANGUN LOGIC ANALYZER MENGGUNAKAN ATMEGA16 BERBANTUAN PC Intan Permata Sari, Samsul Hidayat dan Heriyanto Jurusan Fisika Universitas Negeri Malang Email: ips2990@yahoo.co.id ABSTRAK: Seiring berkembangnya

Lebih terperinci

AUDIO/VIDEO SELECTOR 5 CHANNEL DENGAN MIKROKONTROLER AT89C2051

AUDIO/VIDEO SELECTOR 5 CHANNEL DENGAN MIKROKONTROLER AT89C2051 AUDIO/VIDEO SELECTOR 5 CHANNEL DENGAN MIKROKONTROLER AT89C2051 MUHAMMAD ERPANDI DALIMUNTHE Jurusan Teknik Elektro, Fakultas Teknologi Industri, Universitas Gunadarma, Margonda Raya 100 Depok 16424 telp

Lebih terperinci

PERANCANGAN DAN REALISASI PENGANTRIAN MEJA PADA RESTORAN MENGGUNAKAN FASILITAS SHORT MESSAGE SERVICE

PERANCANGAN DAN REALISASI PENGANTRIAN MEJA PADA RESTORAN MENGGUNAKAN FASILITAS SHORT MESSAGE SERVICE PERANCANGAN DAN REALISASI PENGANTRIAN MEJA PADA RESTORAN MENGGUNAKAN FASILITAS SHORT MESSAGE SERVICE Nurwijayanti Kusumaningrum 1 ABSTRACT Nowadays, the develope of restaurant are going fast with more

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

PERENCANAAN INVERTER PWM SATU FASA UNTUK PENGATURAN TEGANGAN OUTPUT PEMBANGKIT TENAGA ANGIN

PERENCANAAN INVERTER PWM SATU FASA UNTUK PENGATURAN TEGANGAN OUTPUT PEMBANGKIT TENAGA ANGIN PERENCANAAN INVERTER PWM SATU FASA UNTUK PENGATURAN TEGANGAN OUTPUT PEMBANGKIT TENAGA ANGIN Oleh Herisajani, Nasrul Harun, Dasrul Yunus Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT Inverter

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1 TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Bilangan biner dan bilangan heksadesimal

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

8. TRANSFER DATA. I. Tujuan

8. TRANSFER DATA. I. Tujuan 8. TRANSFER DATA I. Tujuan 1. Membuat rangkaian transfer data seri dan transfer data secara paralel dengan menggunakan IC yang berisi JK-FF dan D-FF. 2. Mengamati operasi transfer data seri dan dan transfer

Lebih terperinci

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL PERTEMUAN 9 RANGKAIAN KOMBINASIONAL Sasaran Pertemuan 9 Mahasiswa diharapkan mengerti tentang Rangkaian Kombinasional yang terdiri dari : - Multiplexer - Demultiplexer - Decoder - Encoder - Seven Segment

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Waktu : Juli 2010 November 2010 Tempat : Laboratorium Teknik Kendali Jurusan Teknik Elektro Universitas Lampung B. Alat dan Bahan Alat dan bahan yang

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T.

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. PRAKTIKUM 2 DECODER-ENCODER JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. Nama : Fachryzal Candra Trisnawan NIM : 160533611466 Prog. Studi - Off

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci