BAB 3. Perancangan Sistem

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB 3. Perancangan Sistem"

Transkripsi

1 BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat tiga (3) bagian blok sistem yaitu Blok FPGA Spartan 3AN XC3S700AN, Blok Output LED, Blok Komputer/PC, Blok Output LCD. Blok sistem FPGA Spartan 3AN XC3S700AN yaitu berisi tentang bagaimana data dapat dikirim melalui Modul FPGA Spartan 3AN XC3S700AN ke Modul Modul Output lainnya. Dan FPGA Spartan 3AN XC3S700AN dapat mengatur hasil Output melalui Switch / Push Button yang terdapat didalam Modul FPGA Spartan 3AN XC3S700AN 32

2 untuk dapat menentukan nilai akhir dari Output yang dihasilkan, Berdasarkan yang kita inginkan setelah dilakukan pemrograman dengan mengunakan bahasa VHDL. Blok Komputer / PC yaitu berisi tentang cara memproses data yang sudah dibuat atau dirancang dengan menggunakan suatu Sofware Xilinx Ise 11, lalu data tersebut dikirim menuju FPGA Spartan 3AN XC3S700AN ke Modul Modul Outputnya lainnya. Switch / Push Button Berfungsi sebagai penghubung antara FPGA Spartan 3AN XC3S700AN ke modul Output berupa LED dan 7- Segment. Dengan sistem sebagai berikut: Data yang berasal dari sebuah modul FPGA Spartan 3AN XC3S700AN yang sudah di program menggunakan bahasa VHDL, lalu ditembakkan dengan alamat yang sudah di tentukan ke modul Switch / Push Button sesuai dengan karekteristik FPGA tersebut. Bila salah satu Switch / Push Button ditekan/digeser berdasarkan nilai logika yang telah ditentukan. maka data yang berasal dari Switch ini akan dikirimkan kembali ke Modul FPGA Spartan 3AN XC3S700AN lalu data tersebut diteruskan menuju Modul Output LED untuk menunjukan nilai hasil Output. Blok Modul Output LED atau 7-Segment yaitu berisi tentang bagaimana cara menampilkan Inputan yang diberikan atau dikirimkan oleh FPGA Spartan XC3S700AN menuju Modul Output LED. Nilai input yang diberikan kedalam FPGA Spartan XC3S700AN harus sama dengan nilai Output yang dihasilkan. Bila tidak sesuai dengan nilai input dan Outputnya maka telah terjadi sebuah 33

3 kesalahan pada sebuah pemrogramannya atau pada Modul FPGA Spartan 3AN XC3S700AN terjadi kerusakan. Bisa juga terjadi kerusakan pada sebuah modul Output. Blok Modul Output LCD yaitu berisi tentang bagaimana cara menampilkan Inputan yang diberikan atau dikirimkan oleh FPGA Spartan 3AN XC3S700AN menuju Modul Output LCD. Data yang dikirimkan oleh Komputer berupa data ASCII pada karakter yang digunakan oleh LCD. Data Input dan Data Output harus sama dengan nilai Output yang dihasilkan oleh LCD. Bila pada keyboard kita tekan Huruf A dan kita masukan ASCII Huruf A pada pemrogramannya, maka nilai Output yang dihasilkan oleh LCD harus sama dengan yang diminta atau diprogram. Bila nilai Output pada LCD tidak sama maka terjadi pada kesalahan pada Program yang telah dibuat, atau bisa terjadi kerusakan pada Modul LCD itu sendiri. Atau bisa juga terjadi kerusakan pada cabel data yang digunakan dalam memprogram data Perangkat Keras Modul FPGA FPGA yang digunakan dalam penyusunan skripsi ini, kami menggunakan FPGA Spartan 3AN XC3S700AN keluaran Xilinx dan Spesifikasinya adalah : 34

4 Gambar 3.2 Konfigurasi Spartan 3AN 1. Periksa kembali pengaturan jumper seperti pada Gambar Jika diperlukan sambungkan perangkat tampilan VGA. Perangkat dapat berupa sebuah CRT, flatpanel, atau bahkan proyektor. 3. Jika diperlukan sambungkan headphone atau speaker ke audio jack. 4. Atur switch SUSPEND ke posisi RUN. 5. Sambungkan AC adaptor ke listrik dan modul FPGA. Gunakan sambungan yang sesuai. 6. Nyalakan switch power. 7. LCD dan tampilan VGA jika sudah terpasang dengan benar akan menampilan berbagai informasi dan instruksi. 8. Gunakan putaran atau pushbutton untuk mengendalikan berbagai fungsi FPGA. 9. Jika diperlukan sambungkan PS/2 keyboard untuk mendukung rancangan. 35

5 10. Jika diperlukan sambungkan sebuah komputer ke 9-pin serial cable. Gambar 3.3 Pengaturan jumper Spartan 3 AN Modul FPGA Spartan 3AN XC3S700AN Modul FPGA Spartan 3AN XC3S700AN adalah sebuah modul FPGA dengan menggunakan FPGA keluaran Xilinx. FPGA ini berfungsi memroses data secara tepat dan akurat dengan jumlah memory yang lebih banyak dibanding dengan Mikrokontroller. FPGA Spartan 3AN XC3S700AN mempunyai fitur fitur sebagai berikut: 1. Sambungan PS/2 untuk mouse/keyboard. 2. Tampilan LED. 3. Tampilan LCD. 36

6 4. Empat slide switch. 5. Empat pushbutton Mhz sumber clock kristal oscillator. 7. Sambungan untuk tambahan kristal oscillator. 8. Pengaturan FPGA melalui pengaturan jumper. 9. Push button untuk memaksa pengaturan ulang FPGA. 10. Indikator LED ketika FPGA telah berhasil dikonfigurasi. 11. Tiga buah 40-pin koneksi tambahan untuk meningkatkan kinerja FPGA 12. Sambungan JTAG untuk download cable. 13. Digilent JTAG kabel download/debugging menuju ke PC parallel port. 14. JTAG download/debug yang cocok dengan Xilinx Parallel Cable IV dan MultiPRO Desktop Tool. 15. Adaptor AC untuk daya +5V. 16. LED indikator nyala. Gambar 3.4 Modul FPGA Spartan XC3S700AN Modul Output LED 37

7 Modul Output LED yang digunakan adalah kumpulan beberapa LED sesuai dengan banyaknya bit yang dapat dikeluarkan oleh sebuah modul FPGA Spartan 3AN XC3S700AN. Dan berfungsi untuk melihat tampilan keluaran atau Output yang dihasilkan dari proses pengolahan data di dalam FPGA Modul Switch atau Push Button. Gambar 3.5 Modul LED Modul Switch atau Push Button yang digunakan adalah kumpulan dari beberapa Switch atau Push Button yang dirangkai atau disusun sesuai dengan banyaknya LED yang terdapat pada Modul Output LED yang telah di jelaskan diatas. Switch ini berfungsi untuk mengatur hasil yang dikeluarkan oleh FPGA Spartan 3AN XC3S700AN dan ditunjukan pada Modul LED sebagai Output. 38

8 Gambar 3.6 Modul Push Button Kabel USB CABLE Kabel USB CABLE yang digunakan adalah untuk mengirimkan data hasil rancangan dari sebuah PC ke sebuah FPGA Spartan 3AN XC3S700AN. Gambar 3.7 Kabel USB CABLE Power Supply 39

9 Power Supply digunakan untuk memberikan daya pada FPGA Spartan 3AN XC3S700AN dengan arus DC karena FPGA Spartan 3AN XC3S700AN menggunakan arus DC sebagai daya dalam memproses suatu data. Gambar 3.8 Adaptor Power Supply Perangkat Lunak Pengembangan driver VGA card dengan menggunakan software Xilinx ISE. Didalam sebuah FPGA Spartan 3AN XC3S700AN tidak lepas dari sebuah pemrograman. Dalam pemrograman digunakan dengan bahasa VHDL. Dalam 40

10 pemrograman di gunakan sebuah software Xilinx ISE 11 dalam sebuah perancangan program. Gambar 3.9 Xilinx ISE Penjelasan Software Jenis FPGA yang digunakan adalah FPGA Spartan 3AN XC3S700AN keluaran xilinx, kemudian pada software menggunakan software Xilinx ISE 11 dalam pemrogramannya. Xilinx ISE 11 menyediakan fitur fitur pemrograman menggunakan bahasa VHDL, Bahasa Verilog,Bahasa C dan Bahasa Assembly. Gambar 3.10 Tampilan Muka Xilinx ISE 41

11 Untuk memroses FPGA dalam membuat suatu program, dilakukan dengan cara seperti Check Syntax Synthesize Implement Design Program FPGA dengan menggunakan bantuan Software Xilinx Impact 11. Gambar 3.11 Tampilan Muka Xilinx Impact Proses Pemrograman FPGA Proses untuk memprogram suatu FPGA adalah sebagai berikut : Compile Synthesize Implement Design ( Translate Design, Map Design to FPGA, 42

12 Place & Route, Timing Analysis, Generate Programming File) Program FPGA. Proses semua ini dijalankan atau dilakukan dalam Software Xilinx ISE 11. Gambar 3.12 Menu Xilinx ISE Burning Program Proses pemrograman dari Xilinx Ise 11 ke FPGA Spartan 3AN XC3S700AN (Burning FPGA) menggunakan program Xilinx Impact 11. Pertama Program dibuat kedalam bentuk PROM ; Kedua Menuju Ke Boundary Scan dan masukan Device yang telah dibuat melalui PROM. Lalu 43

13 diproses/program. Dan FPGA akan berjalan berdasarkan program yang dibuat/diinginkan. Gambar 3.13 Menu Tampilan PROM FILE Skematik Sistem 44

14 Gambar 3.14 Skematik Sistem Inisialisasi Potongan Potongan Program VHDL Library dan * Library VHDL yang digunakan : Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.STD_Logic_Arith.all; Use IEEE.STD_Logic_Unsigned.all; 45

15 * Inisialisasi pin yang digunakan : entity switch_led is Port ( sw : in STD_LOGIC_VECTOR (3 downto 0); led : out STD_LOGIC_VECTOR (7 downto 0)); end switch_led; Program VHDL pada FPGA Spartan 3AN XC3S700AN Program Switch LED Display Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.STD_Logic_Arith.all; Use IEEE.STD_Logic_Unsigned.all; entity switch_led is Port ( sw : in STD_LOGIC_VECTOR (3 downto 0); led : out STD_LOGIC_VECTOR (7 downto 0)); end switch_led; architecture Behavioral of switch_led is begin led <= " " when sw = "0000" else " " when sw = "0001" else " " when sw = "0010" else " " when sw = "0011" else 46

16 " " when sw = "0100" else " " when sw = "0101" else " " when sw = "0110" else " " when sw = "0111" else " " when sw = "1000" else " " when sw = "1001" else " " when sw = "1010" else " "; end Behavioral; Program VHDL TestBench Pada FPGA Spartan 3AN XC3S700AN LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY LED_TESTBENCH IS END LED_TESTBENCH; ARCHITECTURE behavior OF LED_TESTBENCH IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT LED_one PORT( sw : IN std_logic_vector(3 downto 0); 47

17 led : OUT std_logic_vector(7 downto 0); reset : IN std_logic; clk_50m : IN std_logic ); END COMPONENT; --Inputs signal sw : std_logic_vector(3 downto 0) := (others => '0'); signal reset : std_logic := '0'; signal clk_50m : std_logic := '0'; --Outputs signal led : std_logic_vector(7 downto 0); -- Clock period definitions constant clk_50m_period : time := 1us; BEGIN -- Instantiate the Unit Under Test (UUT) uut: LED_one PORT MAP ( sw => sw, led => led, reset => reset, clk_50m => clk_50m ); -- Clock process definitions clk_50m_process :process begin 48

18 clk_50m <= '0'; wait for clk_50m_period; clk_50m <= '1'; wait for clk_50m_period; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for 100ms; --Sample way of setting inputs - reset used as a redundant example. reset <= '1'; wait for 10ns; reset <= '0'; wait for 10ns; wait; end process; END; 49

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Rancangan Sistem Rancangan sistem secara keseluruhan dapat dilihat pada gambar 3.1. Keyboard FPGA dan NanoBoard Monitor Gambar 3.1 Diagram Blok Sistem Dari blok diagram diatas

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Spesifikasi Sistem Spesifikasi yang ada pada sistem ini terbagi menjadi dua tahapan, yaitu spesifikasi perangkat keras yang digunakan dan spesifikasi perangkat lunak

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisa Masalah Dalam perancangan sistem otomatisasi pemakaian listrik pada ruang belajar berbasis mikrokontroler terdapat beberapa masalah yang harus

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Metodologi penelitian yang digunakan dalam perancangan sistem ini antara lain studi kepustakaan, meninjau tempat pembuatan tahu untuk mendapatkan dan mengumpulkan sumber informasi

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Pengertian Umum Sistem yang dirancang adalah sistem yang berbasiskan mikrokontroller dengan menggunakan smart card yang diaplikasikan pada Stasiun Kereta Api sebagai tanda

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI SISTEM

BAB III PERANCANGAN DAN REALISASI SISTEM BAB III PERANCANGAN DAN REALISASI SISTEM 3. Perancangan Perangkat Keras Setelah mempelajari teori yang menunjang dalam merealisasikan alat maka langkah berikutnya adalah membuat suatu rancangan perangkat

Lebih terperinci

BAB III METODE PENELITIAN. Bahan komponen yang digunakan untuk pembuatan rangkaian modul. adalah sebagai berikut : 3. Kapasitor 22nF dan 10nF

BAB III METODE PENELITIAN. Bahan komponen yang digunakan untuk pembuatan rangkaian modul. adalah sebagai berikut : 3. Kapasitor 22nF dan 10nF 29 BAB III METODE PENELITIAN 3.1 BAHAN Bahan komponen yang digunakan untuk pembuatan rangkaian modul adalah sebagai berikut : 3.1.1 Rangkaian Minimum System Komponen yang digunakan pada rangkaian minimum

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Prinsip Kerja Sistem Yang Dirancang Pada dasarnya alat yang dibuat ini adalah untuk melakukan suatu transfer data karakter menggunakan gelombang radio serta melakukan pengecekan

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai prinsip kerja rangkaian yang disusun untuk merealisasikan sistem alat, dalam hal ini Bluetooth sebagai alat komunikasi penghubung

Lebih terperinci

BAB IV IMPLEMENTASI DAN EVALUASI

BAB IV IMPLEMENTASI DAN EVALUASI BAB IV IMPLEMENTASI DAN EVALUASI Pada bab ini akan dijelaskan mengenai implementasi dan evaluasi pada saat melakukan perancangan Standalone AVR Programmer. Berikut ini adalah beberapa cara implementasi

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam Perancangan Alat Pengaduk Adonan Kue ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan tersebut antara

Lebih terperinci

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 21 BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 3.1 Gambaran umum Perancangan sistem pada Odometer digital terbagi dua yaitu perancangan perangkat keras (hardware) dan perangkat lunak (software). Perancangan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK Bab ini membahas tentang perancangan perangkat lunak yang meliputi interface PC dengan mikrokontroller, design, database menggunakan Microsoft access untuk

Lebih terperinci

Petunjuk Dasar Pemrograman Mikrokontroller dengan Module. IW-16 USB Mikrokontroller AVR ATmega 16

Petunjuk Dasar Pemrograman Mikrokontroller dengan Module. IW-16 USB Mikrokontroller AVR ATmega 16 Petunjuk Dasar Pemrograman Mikrokontroller dengan Module IW-16 USB Mikrokontroller AVR ATmega 16 Sebelum masuk ke pemrograman diperlukan pemahaman tentang modul yang digunakan. Pertama akan dijelaskan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

BAB II DASAR TEORI. mikrokontroler yang berbasis chip ATmega328P. Arduino Uno. memiliki 14 digital pin input / output (atau biasa ditulis I/O,

BAB II DASAR TEORI. mikrokontroler yang berbasis chip ATmega328P. Arduino Uno. memiliki 14 digital pin input / output (atau biasa ditulis I/O, BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino Uno R3 adalah papan pengembangan mikrokontroler yang berbasis chip ATmega328P. Arduino Uno memiliki 14 digital pin input / output (atau biasa ditulis I/O,

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB 3 METODE PENELITIAN

BAB 3 METODE PENELITIAN BAB 3 METODE PENELITIAN 3.1. Perancangan Sistem 3.1.1. Gambaran Umum Sistem Sistem terdiri dari 2 modul yakni transmitter dan receiver. Modul transmitter berupa remote yang di dalamnya terdapat Arduino

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Rancangan Perangkat Keras 3.1.1 Blok Diagram Sistem Blok diagram dari sistem AVR standalone programmer adalah sebagai berikut : Tombol Memori Eksternal Input I2C PC SPI AVR

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Penelitian ini menggunakan metode penelitian eksperimen (uji coba). Tujuan dari penelitian ini yaitu membuat suatu alat yang dapat mengontrol piranti rumah tangga yang ada pada

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

BAB III PROSES PERANCANGAN

BAB III PROSES PERANCANGAN BAB III PROSES PERANCANGAN 3.1. Perancangan Alat Perancangan merupakan suatu tahap yang sangat penting dalam pembuatan suatu alat, sebab dengan menganalisa komponen yang digunakan maka alat yang akan dibuat

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Masalah Dalam perancangan robot pengantar makanan berbasis mikrokontroler ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan

Lebih terperinci

BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY

BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY 3.1 Perancangan Alat Dalam merealisasikan sebuah sistem elektronik diperlukan tahapan perencanaan yang baik dan matang. Tahapan-tahapan

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB 1l DASAR TEORI 2.1. NODEMCU V3

BAB 1l DASAR TEORI 2.1. NODEMCU V3 BAB 1l DASAR TEORI 2.1. NODEMCU V3 NodeMCU pada dasarnya adalah pengembangan dari ESP 8266 dengan firmware berbasis e-lua. Pada NodeMcu dilengkapi dengan micro usb port yang berfungsi untuk pemorgaman

Lebih terperinci

DAFTAR ISI KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv. DAFTAR GAMBAR... xvi BAB I PENDAHULUAN Kontribusi... 3

DAFTAR ISI KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv. DAFTAR GAMBAR... xvi BAB I PENDAHULUAN Kontribusi... 3 DAFTAR ISI ABSTRAKSI... vii KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv DAFTAR GAMBAR... xvi BAB I PENDAHULUAN... 1 1.1. Latar Belakang Masalah... 1 1.2. Perumusan Masalah... 2 1.3. Pembatasan

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai proses perancangan mekanik pintu gerbang otomatis serta penyusunan rangkaian untuk merealisasikan sistem alat. Dalam hal ini sensor

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Masalah Dalam perancangan alat pendeteksi kadar alkohol pada buah-buahan untuk dikonsumsi ibu hamil menggunakan beberapa metode rancang bangun yang pembuatannya

Lebih terperinci

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN...

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN... DAFTAR ISI Halaman HALAMAN PENGESAHAN... i ABSTRAKSI... ii KATA PENGANTAR... iii DAFTAR ISI... v DAFTAR TABEL... x DAFTAR GAMBAR... xi DAFTAR LAMPIRAN... xiv DAFTAR ISTILAH DAN SINGKATAN... xv BAB I PENDAHULUAN

Lebih terperinci

Petunjuk Dasar Pemrograman Mikrokontroller dengan Module IW-32A USB Miktokontroller AVR ATmega32A

Petunjuk Dasar Pemrograman Mikrokontroller dengan Module IW-32A USB Miktokontroller AVR ATmega32A Petunjuk Dasar Pemrograman Mikrokontroller dengan Module IW-32A USB Miktokontroller AVR ATmega32A Sebelum masuk ke pemrograman diperlukan pemahaman tentang modul yang digunakan. Pertama akan dijelaskan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA 83 BAB IV PENGUJIAN DAN ANALISA 4.1. Tujuan Pengujian Pengujian yang akan dilakukan untuk mengetahui apakah sistem sudah berjalan sesuai dengan perencanaan yang telah dibuat. Pengujian dilakukan pada beberapa

Lebih terperinci

Membuat Project dengan CodeVisionAVR.

Membuat Project dengan CodeVisionAVR. Membuat Project dengan CodeVisionAVR. Pada penjelasan berikutnya, sebagai contoh digunakan modul AVR yang mempunyai hubungan sebagai berikut: PortA terhubung dengan 8 buah LED dengan operasi aktif high

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM 3.1. Spesifikasi Sistem Sebelum merancang blok diagram dan rangkaian terlebih dahulu membuat spesifikasi awal rangkaian untuk mempermudah proses pembacaan, spesifikasi

Lebih terperinci

BAB III PERANCANGAN ALAT PENDETEKSI KERUSAKAN KABEL

BAB III PERANCANGAN ALAT PENDETEKSI KERUSAKAN KABEL BAB III PERANCANGAN ALAT PENDETEKSI KERUSAKAN KABEL. Diagram Blok Diagram blok merupakan gambaran dasar membahas tentang perancangan dan pembuatan alat pendeteksi kerusakan kabel, dari rangkaian sistem

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA BAB IV PENGUJIAN DAN ANALISA 4.1 Tujuan Pengujian Pengujian yang akan dilakukan untuk mengetahui apakah sistem sudah berjalan sesuai dengan perencanaan yang telah dibuat. Pengujian dilakukan pada beberapa

Lebih terperinci

BAB III PEMBUATAN ALAT Tujuan Pembuatan Tujuan dari pembuatan alat ini yaitu untuk mewujudkan gagasan dan

BAB III PEMBUATAN ALAT Tujuan Pembuatan Tujuan dari pembuatan alat ini yaitu untuk mewujudkan gagasan dan BAB III PEMBUATAN ALAT 3.. Pembuatan Dalam pembuatan suatu alat atau produk perlu adanya sebuah rancangan yang menjadi acuan dalam proses pembuatanya, sehingga kesalahan yang mungkin timbul dapat ditekan

Lebih terperinci

BAB III PERENCANAAN. 3.1 Perencanaan Secara Blok Diagram

BAB III PERENCANAAN. 3.1 Perencanaan Secara Blok Diagram BAB III PERENCANAAN Pada bab ini penulis akan menjelaskan lebih rinci mengenai perencanaan dalam pembuatan alat. Penulis membuat rancangan secara blok diagram sebagai pembahasan awal. 3.1 Perencanaan Secara

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1.

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. 23 BAB III METODOLOGI PENELITIAN 3.1 Blok Diagram Modul Baby Incubator Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. PLN THERMOSTAT POWER SUPPLY FAN HEATER DRIVER HEATER DISPLAY

Lebih terperinci

BAB III PERANCANGAN DAN IMPLEMENTASI SISTEM

BAB III PERANCANGAN DAN IMPLEMENTASI SISTEM BAB III PERANCANGAN DAN IMPLEMENTASI SISTEM Dalam perancangan dan implementasi sistem akan dijelaskan tentang cara kerja sistem terdapat dalam garis besar perancangan sistem dan diikuti dengan penjelasan

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN ALAT

BAB III ANALISA DAN PERANCANGAN ALAT BAB III ANALISA DAN PERANCANGAN ALAT III.1. Analisa Permasalahan Perancangan Alat Ukur Kadar Alkohol Pada Minuman Tradisional Dalam melakukan pengujian kadar alkohol pada minuman BPOM tidak bisa mengetahui

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Alat dan Bahan Alat dan bahan yang digunakan pada tugas akhir ini yaitu berupa hardware dan software. Table 3.1. merupakan alat dan bahan yang digunakan. Tabel 3.1. Alat dan

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Pada bab ini, akan dibahas pengujian alat mulai dari pengujian alat permodul sampai pengujian alat secara keseluruhan serta pengujian aplikasi monitoring alat tersebut. Pengujian

Lebih terperinci

PENGATUR KADAR ALKOHOL DALAM LARUTAN

PENGATUR KADAR ALKOHOL DALAM LARUTAN Jurnal Teknik Komputer Unikom Komputika Volume 2, No.1-2013 PENGATUR KADAR ALKOHOL DALAM LARUTAN Syahrul 1), Sri Nurhayati 2), Giri Rakasiwi 3) 1,2,3) Jurusan Teknik Komputer, Fakultas Teknik dan Ilmu

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Masalah Dalam bab ini akan dibahas masalah-masalah yang muncul dalam perancangan alat dan aplikasi program, serta pemecahan-pemecahan dari masalah yang

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Berikut adalah gambar blok diagram :

BAB III METODOLOGI PENELITIAN. Berikut adalah gambar blok diagram : BAB III METODOLOGI PENELITIAN 3.1 Diagram Blok Berikut adalah gambar blok diagram : Push Button Call dan stop LCD ATMega8 ATMega8 LED Buzzer RXD Modul bluetooth HM-10 TXD Modul bluetooth HM-10 Gambar 3.1

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1. Gambaran Umum Sistem Sistem yang akan dibuat memiliki fungsi untuk menampilkan kondisi volume air pada tempat penampungan air secara real-time. Sistem ini menggunakan sensor

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Dalam bidang teknologi, orientasi produk teknologi yang dapat dimanfaatkan untuk kehidupan manusia adalah produk yang berkualitas, hemat energi, menarik, harga murah, bobot ringan,

Lebih terperinci

BAB III PERANCANGAN SISTEM. untuk efisiensi energi listrik pada kehidupan sehari-hari. Perangkat input untuk

BAB III PERANCANGAN SISTEM. untuk efisiensi energi listrik pada kehidupan sehari-hari. Perangkat input untuk BAB III PERANCANGAN SISTEM 3.1 Dasar Perancangan Sistem Perangkat keras yang akan dibangun adalah suatu aplikasi mikrokontroler untuk efisiensi energi listrik pada kehidupan sehari-hari. Perangkat input

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam perancangan alat pendeteksi pelanggaran garis putih pada Traffict Light ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahanpermasalahan

Lebih terperinci

BAB III DESAIN DAN PENGEMBANGAN SISTEM

BAB III DESAIN DAN PENGEMBANGAN SISTEM BAB III DESAIN DAN PENGEMBANGAN SISTEM 3.1 Perangkat Keras Perancangan perangkat keras untuk sistem kontrol daya listrik diawali dengan merancangan sistem sensor yang akan digunakan, yaitu sistem sensor

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Masalah Dalam perancangan argo becak motor berbasis arduino dan GPS ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan tersebut

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. sederhana, ditunjukan pada blok diagram dibawah ini.

BAB 3 PERANCANGAN SISTEM. sederhana, ditunjukan pada blok diagram dibawah ini. BAB 3 PERANCANGAN SISTEM 3.1 Perangkat Keras Pada penelitian ini, menggunakan beberapa perangkat keras. Secara sederhana, ditunjukan pada blok diagram dibawah ini. Gambar 3.1 Blok Diagram Perangkat Keras

Lebih terperinci

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus III. METODE PENELITIAN A. Tempat dan Waktu Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus 2009, dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium Sistem

Lebih terperinci

DAFTAR ISI Daerah SR(Special Relay) Daerah TR(Tempory Relay) Daerah DM (Data Memory) Daerah HR(Holding Relay)..

DAFTAR ISI Daerah SR(Special Relay) Daerah TR(Tempory Relay) Daerah DM (Data Memory) Daerah HR(Holding Relay).. DAFTAR ISI HALAMAN JUDUL. i LEMBAR PENGESAHAN. ii LEMBAR PERNYATAAN. iii KATA PENGANTAR..... iv-v UCAPAN TERIMA KASIH vi-vii DAFTAR ISI.. viii-xiii DAFTAR GAMBAR xiv-xv DAFTAR TABEL. xvi INTISARI. xvii

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS 3.1. Pendahuluan Perangkat pengolah sinyal yang dikembangkan pada tugas sarjana ini dirancang dengan tiga kanal masukan. Pada perangkat pengolah sinyal

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C.

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C. BAB II DASAR TEORI 2.1 ARDUINO Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai bidang.

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

Programmable Peripheral Interface 8255

Programmable Peripheral Interface 8255 Percobaan 05 Programmable Peripheral Interface 8255 I. Tujuan Percobaan 1. Memahami tentang Programmable Peripheral Interface 2. Mampu melakukan komunikasi antara komputer dengan ISA PIO Card II. Teori

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Gambar blok diagram dari sistem kerja alat dapat dilihat pada Gambar 3.1

BAB III METODOLOGI PENELITIAN. Gambar blok diagram dari sistem kerja alat dapat dilihat pada Gambar 3.1 BAB III METODOLOGI PENELITIAN 3.1 Diagram Blok Gambar blok diagram dari sistem kerja alat dapat dilihat pada Gambar 3.1 sebagai berikut. Sampel Air Sensor TDS Modul Sensor Program Mikrokontroller ATMega16

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT

BAB III PERANCANGAN DAN REALISASI ALAT BAB III PERANCANGAN DAN REALISASI ALA 3.1 Perancangan Hardware 3.1.1 Perancangan Alat Simulator Sebagai proses awal perancangan blok diagram di bawah ini akan sangat membantu untuk memberikan rancangan

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN

BAB III PERANCANGAN DAN PEMBUATAN BAB III PERANCANGAN DAN PEMBUATAN 3.1 Deskripsi dan Spesifikasi Alat 3.1.1 Deskripsi Bab III ini akan dibahas tentang perencanaan sistem alat ukur arus. Alat ukur arus ini menggunakan mikrokontroler arduino

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN ALAT

BAB III PERENCANAAN DAN PEMBUATAN ALAT BAB III PERENCANAAN DAN PEMBUATAN ALAT 3.1. Gambaran Umum Merupakan alat elektronika yang memiliki peranan penting dalam memudahkan pengendalian peralatan elektronik di rumah, kantor dan tempat lainnya.

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci