DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

Ukuran: px
Mulai penontonan dengan halaman:

Download "DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )"

Transkripsi

1 SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) Arief Budijanto, Chirul Anwar, Nizar Syaifudin Lab. Simulasi & Sistem Digital Teknik Elektro ITATS ABSTRAK Trainer Dasar Sistem Digital Terintegrasi (TDSDT) adalah suatu peralatan laboratorium yang digunakan untuk praktikum dasar sistem digital yang berbasiskan komponen digital TTL maupun PLD (programmable logic Device). Trainer ini terdiri dari modul-modul yang terintegrasi dalam satu papan PCB. Modul-modul tersebut terdiri dari modul input dan output. Modul input terdiri dari keypad, saklar push button dan toggle, sedangkan modul output terdiri dari LED, Seven Segment, LED Dot Matrix, Motor DC dan Motor Stepper. Dalam TDSDT ini dilengkapi dengan rangkaian pembangkit clock manual dan kontinyu yang digunakan untuk sinkronisasi kerja rangkaian digital yang telah dirancang. TDSDT ini didalam pelaksanaan praktikum dapat digunakan untuk percobaan merancang rangkaian kombinasional maupun sekuensial yang dimplementasikan dalam komponen digital jenis TTL maupun PLD. Untuk implementasi rangkaian digital pada PLD dalam praktikum digunakan komponen-komponen tipe PALCE22V10, PALCE20V8, PALCE16V8, PLD22V10 dan PLD20V10 dan dibantu dengan perangkat lunak WARP R4.2. Perangkat lunak tersebut digunakan untuk momodelkan rangkaian digital dalam kode VHDL. Kemudian kode VHDL tersebut dikompilasi untuk proses verifikasi terhadap kesalahan sintaks dari kode VHDL tersebut. Setelah proses kompilasi langkah selanjutnya membuat testbench dalam bentuk diagram waktu yang digunakan untuk menguji terjadinya kesalahan logika dari rancangan tersebut. Proses selanjutnya melakukan download file *.jed pada komponen PLD menggunakan alat universal programmer. Dengan alat TDSDT ini diharapkan dapat menambah kompetensi dalam pembelajaran mata kuliah sistem digital. Kata kunci : Trainer, Sistem Digital, PLD, VHDL 1. Pendahuluan Fungsi yang diberikan oleh masing-masing chip TTL 74XX-series adalah fixed dan tidak bisa dikhususkan untuk menyesuaikan pada situasi desain tertentu, Fakta ini, menyebabkan pembatasan penggabungan dari masing-masing chip yang berisi hanya sedikit gerbang logika, sehingga membuat chip ini tidak efisien untuk membangun rangkaian logik yang besar. Hal itu akan mungkin jika membuat chip yang berisi sejumlah rangkaian logika yang besar dengan suatu struktur yang tidak fixed. Chip seperti itu yang pertama diperkenalkan pada tahun 1970-an dan disebut Programmable Logic Device (PLD). PLD adalah suatu general-purpose chip yang dapat meng-implementasikan rangkaian logika. Ada beberapa jenis PLD yaitu PAL (Programmable Array Logic), GAL (Generic Array Logic), EPLD (Erasable PLD), PLA (Programmbable Logic Array), CPLD ( Complex PLD), FPGA (Field Programmable Gate Array). Suatu PLD dapat dipandang sebuah Black Box yang berisi gerbang logika dan saklar yang dapat di program, seperti digambarkan pada Gambar 1. Saklar yang diprogram mengijinkan gerbang logika di dalam PLD untuk dihubungkan bersama-sama untuk menerapkan apapun rangkaian logika yang diperlukan.(stephen B., Z. Vranesic,2000) Input (Logic Variable) Logic Gate And Programmbale Switchs Outputs (Logic Functions) Gbr 1. PLD sebagai Black Box [] Dalam penelitian ini akan dibuat suatu desain alat trainer dasar sistem digital yang terintegrasi dengan modul-modul rangkaian percobaan dalam satu papan PCB (Printed Circuit Board) yang menggunakan komponen utama jenis PLD. Sehingga nantinya alat ini dapat bermanfaat bagi dunia pendidikan, khususnya dibidang teknik elektro dan teknik komputer di perguruan tinggi maupun Sekolah Menengah Kejuruan (SMK). 2. PLD (Programmable Logic Deivice) PLD termasuk kelompok PLD dengan programmable AND-fixe OR yang merupakan EEPLD (Electrically Erasable PLD) yaitu jenis PLD yang dapat dihapus secara listrik. Pada PLD, penghapusan terjadi dalam skala waktu yang relatif singkat yaitu sekitar 50 ms. Beberapa keuntungan jika menggunakan komponen PLD, yaitu PLD difabrikasi dengan teknologi very high speed E2CMOS yang mempunyai kemampuan uji dan A2-98

2 SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 A2-99 keandalan tinggi, komsumsi daya rendah dan mempunyai OLMC (Output Logic Macro Cell) sehingga para perancang dapat membuat konfigurasi output yang diinginkan. Dalam penghapusannya jauh lebih cepat dibandingkan dengan EPLD yang menggunakan sinar ultra violet. Gbr 2. Arsitektur PLD jenis GAL (Generic Array Logic) Terdapat beberap jenis sesuai dengan kapasitas dari susunan OLMC-nya yaitu GAL16V8, GAL16V8A, GAL20V8, GAL22V10G dan masih banyak komponen PLD yang lain. Perbedaan mendasar dari komponen-komponen PLD ini adalah terletak pada jumlah pin yang tersedia, jumlah gerbang AND, dan jumlah OLMC yang dapat diprogram dan juga tersedia bermacam-macam product term. Masing-masing macrocell dapat diprogram sendiri-sendiri sesuai dengan konfigurasi pin yang diinginkan. Modul Keypad Keypad sering digunakan sebagai suatu input pada beberapa peralatan yang berbasis mikroprosessor atau mikrokontroller. Keypad sesungguhnya terdiri dari sejumlah saklar, yang terhubung sebagai baris dan kolom dengan susunan seperti yang ditunjukkan pada Gambar 5. Agar dapat melakukan scanning keypad, maka port mengeluarkan salah satu bit dari 3 bit yang terhubung pada kolom dengan logika low 0 dan selanjutnya membaca 4 bit pada baris untuk menguji jika ada tombol yang ditekan pada kolom tersebut. Sebagai konsekuensi, selama tidak ada tombol yang ditekan, maka mikrokontroller akan melihat sebagai logika high 1 pada setiap pin yang terhubung ke baris. Modul Push Button Pada Gambar 6. tersebut tampak rangkaian push button, bila saklar ditekan maka port sesuai dengan bit tersebut akan mendapat logika low 0 dan sebaliknya bila saklar tidak ditekan maka port tersebut akan mendapat logika high 1. Gbr 6. Rangkaian Interface push button Gbr 3. Diagram Macro Cell GAL 22V10 3. Desain Perangkat Keras Gambar 4. menunjukkan diagram blok dari sebuah TDSDT. Komponen utama dari TDSDT terdiri dari Modul input, modul output dan PLD sebagai unit pengolah. Gbr 5. Rangkaian dasar keypad 4x4 Downloader PLD Motor Stepper Seven Segmen LED Modul Saklar Pada gambar 9. merupakan modul saklar, dimana saklar-saklar tersebut dimasukan ke port input PLD. Saklar tersebut jika ditutup maka input port berlogika 0 dan sebaliknya jika saklar dibuka input port akan berlogika 1. Motor DC PLD (Programmable Logic Device) LED Dot Matrix Clock Switch Keypad Gbr 4. Diagram blok Perangkat keras TDSDT Gbr 7. Rangkaian Interface saklar

3 SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 A2-100 Modul Seven Segment Pada tabel kebenaran tersebut tampak bahwa seven segment yang hidup tergantung pada output dari chip GAL, yang sedang mengeluarkan logika low 0, sehingga dari 3 buah display tersebut, selalu hanya satu display yang akan dihidupkan. Agar display tampak nyala secara bersamaan maka ketiga display tersebut harus dihidupkan secara bergantian dengan waktu tunda tertentu. Pada gambar tersebut seven segment commont anoda dikendalikan dengan menggunakan transistor PNP melalui chip GAL, apabila ada logika low pada basis transistor, maka seven segment akan nyala dan sebaliknya akan padam. Tabel 1. Data pembentuk karakter segment seven Modul LED Perhatikan pada Gambar 10. tersebut. Delapan buah LED terhubung ke port GAL, yang difungsikan sebagai output. Pada konfigurasi tersebut LED akan nyala bila diberi logika LOW 0 melalui port GAL, dan LED akan padam bila diberi logika HIGH 1 melalui port GAL. Modul Motor Steper Motor Stepper adalah motor DC yang gerakannya bertahap (step per step) dan memiliki akurasi yang tinggi tergantung pada spesifikasinya. Setiap motor stepper mampu berputar untuk setiap stepnya dalam satuan sudut (0.75, 0.9, 1.8), makin keil sudut per stepnya maka gerakan per step-nya motor stepper tersebut makin presisi. Gbr 10. Rangkaian interface LED Gbr 8. Rangkaian interface seven Segment Tabel 1. Data pembentuk karakter seven segment Gbr 11. Rangkaian interface motor stepper Untuk mengatur gerakan motor per step-nya dapat dilakukan dengan 2 cara berdasarkan simpangan sudut gerakannya yaitu full step dan half step. Tabel 2. Motor Stepper Unipolar Dengan Gerakan Full Step Modul LED Dot Matriks Perhatikan pada Gambar 3.6 tersebut. Terdapat susunan LED yang terhubung ke port chip GAL, yang difungsikan sebagai output. Pada konfigurasi dot matriks tersebut akan nyala bila diberi logika LOW 0 melalui port output dari GAL, dan dot matriks akan padam bila diberi logika HIGH 1 melalui port output dari GAL. Gbr 9. Rangkaian interface dot matriks Gbr 12. Kontruksi Motor Stepper dalam satu kali putaran dengan gerakkan Full Step

4 SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 A2-101 Tabel 3. Motor Stepper dengan Gerakan Half Step S k e m a t ik I n p u t S t a t e M a c h in e T a b u l a s i T e x t E d i to r *. V H D K o m p il a s i & S i m u la s i F il e *. J e d D o w n l o a d t o P L D Gbr 15. Alur Perncangan Rangkaian Digital menggunakan VHDL Gbr 13. Kontruksi Motor Stepper dalam Gerakkan Half Step Motor DC Modul yang menggunakan IC driver L298 yang memiliki kemampuan menggerakkan motor DC sampai arus 4A dan tegangan maksimum 46 Volt DC untuk satu kanalnya. Rangkaian driver motor DC dengan IC L298 diperlihatkan pada Gambar 14. Pin Enable A dan B untuk mengendalikan jalan atau kecepatan motor, pin Input 1 sampai 4 untuk mengendalikan arah putaran. Pin Enable diberi VCC 5 Volt untuk kecepatan penuh dan PWM (Pulse Width Modulation) untuk kecepatan rotasi yang bervariasi tergantung dari level high nya. Gbr 14. Rangkaian interface motor DC 4. Desain Perangkat Lunak Perangkat lunak yang digunakan untuk merancang rangkaian digital dalam praktikum adalah VHDL dan compilernya menggunakan Warp R4.2 produk dari Cypress Semiconductor. Adapun tahapan perancangan rangkaian digital diperlihat pada Gambar 15. Contoh Kode VHDL untuk desain decoder 4 bit biner ke Seven Segment dengan model tabulasi Tabel 4. Tabel Kebenaran Biner 4 Bit Ke Seven Segmen. Listing Kode VHDL library ieee; use ieee.std_logic_1164.all; entity seg is port (sel: in std_logic_vector (3 downto 0); Y: out std_logic_vector (6 downto 0)); Attribute Part_name of seg : Entity is "C22V10"; Attribute Pin_numbers of seg : entity is "sel(0):2 sel(1):3 sel(2):4 sel(3):5 " & " Y(6):22 Y(5):21 Y(4):20 Y(3):19 Y(2):18 Y(1):17 Y(0):16"; end seg; architecture dataflow of seg is begin process(sel) begin CASE sel IS WHEN "0000" => Y <= " "; WHEN "0001" => Y <= " "; WHEN "0010" => Y <= " "; WHEN "0011" => Y <= " "; WHEN "0100" => Y <= " "; WHEN "0101" => Y <= " "; WHEN "0110" => Y <= " "; WHEN "0111" => Y <= " "; WHEN "1000" => Y <= " "; WHEN "1001" => Y <= " "; WHEN "1010" => Y <= " ";

5 SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 A2-102 WHEN "1011" => Y <= " "; WHEN "1100" => Y <= " "; WHEN "1101" => Y <= " "; WHEN "1110" => Y <= " "; WHEN OTHERS => Y <= " "; END CASE; end process; end dataflow; Pada desain entity, input dideklarasikan pin sel dengan tipe data std_logic_vector (3 Downto 0 ) dan output dideklarasikan dengan pin Y dengan tipe data std_logic_vector( 6 Downto 0). Attribute Part_name dan Pin_name berfungsi untuk memberi tahu keprogram bahwa menggunakan chip tertentu dan pin chip tertentu.untuk desain architecturenya pin sel akan diproses untuk dieksekusi. 8. R.K. Dueck, Digital Design with CPLD Applications and VHDL, Delmar Learning, Stephen B., Z. Vranesic, Fundamental of Digital logic With VHDL Design, McGraw-Hill, Zainalabedin Navabi, Digital Design and Implementation with Field Programmable Device, Kluwer Academic Press, Tech. Staff of Monolithic Memories, Inc., Designing With Programmable Array Logic, McGraw-Hill Book, 1981 Gbr Simulasi program seven segment Dari Gambar 3.16 terdapat sel yang berfungsi untuk mengatur suatu keluaran karakter yang ditampilkan pada seven segment, sesuai dengan tabel kebenaran diatas. 5. Kesimpulan TDSDT merupakan rancangan trainer yang diharapkan dapat meningkatkan kompetensi siswa SMK atau Mahasiswa Perguruan Tinggi untuk mempelajari dan mengembangakan desain sistem digital secara modern. Daftar Pustaka 1. Arief B., Aplication of Integrated Module MCS 51 for Training.Prosiding SNTE UGM, Yogyakarta, September Arief B., Slamet W., Rancang dan Bangun Pengendali Motor Stepper Menggunakan PLD (Programmable Device), Jurnal Widya Teknik vol 5. No.1 April Arief B., Efrita A, Desain VHDL Pengendali Motor Stepper. Jurnal Teknika, Unesa Vol.6.No.10, Januari Arief B., Kuspriyanto, Perancangan dan Simulasi Processor DFT(Descretre Fourier Transform), Seminar UNTAR, Jakarta, Agustus Arief B., Slamet W., Choirul A., Desain, Simulasi dan Sintesis Simple RISC Microprocessor 32 Bit, Seminar Nasional LPPM ITATS, Surabaya, Nopember Arief B., Perancangan dan Simulasi Hardware Sorter, Jurnal IPTEK,ITATS, Harry H. Helms, Ruth L. Weine, Designing with Programmable Array Logic, McGraw-Hill Book, 1978.

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

BAB III PERANCANGAN DAN KERJA ALAT

BAB III PERANCANGAN DAN KERJA ALAT BAB III PERANCANGAN DAN KERJA ALAT 3.1 DIAGRAM BLOK sensor optocoupler lantai 1 POWER SUPPLY sensor optocoupler lantai 2 sensor optocoupler lantai 3 Tombol lantai 1 Tbl 1 Tbl 2 Tbl 3 DRIVER ATMEGA 8535

Lebih terperinci

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah BAB IV CARA KERJA DAN PERANCANGAN SISTEM 4.1 Diagram Blok Sistem Blok diagram dibawah ini menjelaskan bahwa ketika juri dari salah satu bahkan ketiga juri diarea pertandingan menekan keypad pada alat pencatat

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM 3.1. Spesifikasi Sistem Sebelum merancang blok diagram dan rangkaian terlebih dahulu membuat spesifikasi awal rangkaian untuk mempermudah proses pembacaan, spesifikasi

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik robot. Sedangkan untuk pembuatan perangkat

Lebih terperinci

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur

BAB II PENDEKATAN PEMECAHAN MASALAH. Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur 6 BAB II PENDEKATAN PEMECAHAN MASALAH A. Tombol Kuis dengan Pengatur dan Penampil Nilai Tombol kuis dengan Pengatur dan Penampil Nilai diharapkan memiliki fiturfitur sebagai berikut: 1. tombol pengolah

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PEANCANGAN DAN PEMBUATAN ALAT 3.1. Pendahuluan Dalam Bab ini akan dibahas pembuatan seluruh sistem perangkat yang ada pada Perancangan Dan Pembuatan Alat Aplikasi pengendalian motor DC menggunakan

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN...

DAFTAR ISI. HALAMAN PENGESAHAN... i. KATA PENGANTAR... iii. DAFTAR ISI... v. DAFTAR TABEL... x. DAFTAR GAMBAR... xi. DAFTAR LAMPIRAN... DAFTAR ISI Halaman HALAMAN PENGESAHAN... i ABSTRAKSI... ii KATA PENGANTAR... iii DAFTAR ISI... v DAFTAR TABEL... x DAFTAR GAMBAR... xi DAFTAR LAMPIRAN... xiv DAFTAR ISTILAH DAN SINGKATAN... xv BAB I PENDAHULUAN

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Perancangan Sistem Perancangan Simulasi pengendali pintu gerbang Melalui media Bluetooth pada Ponsel bertujuan untuk membuat sebuah prototype yang membuka, menutup

Lebih terperinci

PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR

PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR Yusran Bobihu* *) Dosen Pada Jurusan Sistem Informasi

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT 39 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik Eskalator. Sedangkan untuk pembuatan

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : 247-772 Penerapan Finite tate Machine Untuk Merancang Pengendali Motor tepper Menggunakan Vhdl Arief Budijanto Universitas Widya Kartika Email : arief232@yahoo.com

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam Perancangan Alat Pengaduk Adonan Kue ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan tersebut antara

Lebih terperinci

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang BAB X MEMORY Capaian Pembelajaran Setelah mempelajari materi ini, mahasiswa akan mampu : Menjelaskan pengertian penyimpan (memory) data digital. Menjelaskan pengertian dan perbedaan sistem memory RAM dan

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA 18 BAB II TINJAUAN PUSTAKA 2.1. Mikrokontroler Mikrokontroler adalah suatu mikroposesor plus. Mikrokontroler adalah otak dari suatu sistem elektronika seperti halnya mikroprosesor sebagai otak komputer.

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

USER MANUAL PALANGAN KERETA API OTOMATIS MATA DIKLAT : SISTEM PENGENDALI ELEKTRONIKA

USER MANUAL PALANGAN KERETA API OTOMATIS MATA DIKLAT : SISTEM PENGENDALI ELEKTRONIKA USER MANUAL PALANGAN KERETA API OTOMATIS MATA DIKLAT : SISTEM PENGENDALI ELEKTRONIKA SISWA KELAS XII TAHUNAJARAN 2010/2011 JURUSAN TEKNIK ELEKTRONIKA INDUSTRI SMK NEGERI 3 BOYOLANGU TULUNGAGUNG CREW 2

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1.

BAB III METODOLOGI PENELITIAN. Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. 23 BAB III METODOLOGI PENELITIAN 3.1 Blok Diagram Modul Baby Incubator Adapun blok diagram modul baby incubator ditunjukkan pada Gambar 3.1. PLN THERMOSTAT POWER SUPPLY FAN HEATER DRIVER HEATER DISPLAY

Lebih terperinci

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a a Jurusan Fisika FMIPA Universitas Tanjungpura Jl. Prof. Dr. H. Hadari Nawawi

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Masalah Dalam perancangan dan implementasi timbangan digital daging ayam beserta harga berbasis mikrokontroler ini terdapat beberapa masalah yang harus

Lebih terperinci

BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT

BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT 3.1 Pendahuluan Dalam bab ini akan dibahas pembuatan seluruh sistem perangkat dari Sistem Interlock pada Akses Keluar Masuk Pintu Otomatis dengan Identifikasi

Lebih terperinci

BAB III DESAIN DAN PENGEMBANGAN SISTEM

BAB III DESAIN DAN PENGEMBANGAN SISTEM BAB III DESAIN DAN PENGEMBANGAN SISTEM 3.1 Perangkat Keras Perancangan perangkat keras untuk sistem kontrol daya listrik diawali dengan merancangan sistem sensor yang akan digunakan, yaitu sistem sensor

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1. Identifikasi Kebutuhan Proses pembuatan alat penghitung benih ikan ini diperlukan identifikasi kebutuhan terhadap sistem yang akan dibuat, diantaranya: 1. Perlunya rangkaian

Lebih terperinci

RANCANG BANGUN KONTROL PERALATAN LISTRIK OTOMATIS BERBASIS AT89S51

RANCANG BANGUN KONTROL PERALATAN LISTRIK OTOMATIS BERBASIS AT89S51 RANCANG BANGUN KONTROL PERALATAN LISTRIK OTOMATIS BERBASIS AT89S51 Isa Hamdan 1), Slamet Winardi 2) 1) Teknik Elektro, Institut Teknologi Adhi Tama Surabaya 2) Sistem Komputer, Universitas Narotama Surabaya

Lebih terperinci

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan BAB V PENGUJIAN DAN ANALISIS Pada bab ini akan diuraikan tentang proses pengujian sistem yang meliputi pengukuran terhadap parameter-parameter dari setiap komponen per blok maupun secara keseluruhan, dan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam Perancangan dan Implementasi Pemotong Rumput Lapangan Sepakbola Otomatis dengan Sensor Garis dan Dinding ini, terdapat beberapa masalah

Lebih terperinci

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Volume 10 No 1, April 2017 Hlm. 44-50 ISSN 0216-9495 (Print) ISSN 2502-5325 (Online) Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Teguh Arifianto Program Studi Teknik

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

BAB III PERENCANAAN DAN PERANCANGAN

BAB III PERENCANAAN DAN PERANCANGAN BAB III PERENCANAAN DAN PERANCANGAN 3.1 Umum Sebuah robot adalah kesatuan perangkat yang tersusun dari mekanik yang di dalamnya tertanam serangkaian elektrik dengan fungsi dan kerja yang dapat ditentukan

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial

Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial Rustam Asnawi, Octa Heriana, Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial Rustam Asnawi

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisa Masalah Dalam perancangan sistem otomatisasi pemakaian listrik pada ruang belajar berbasis mikrokontroler terdapat beberapa masalah yang harus

Lebih terperinci

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus III. METODE PENELITIAN A. Tempat dan Waktu Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus 2009, dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium Sistem

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN ALAT

BAB III ANALISIS MASALAH DAN RANCANGAN ALAT BAB III ANALISIS MASALAH DAN RANCANGAN ALAT III.1. Analisa Masalah Rotating Display adalah alat untuk menampilkan informasi berupa tulisan bergerak dengan menggunakan motor DC. Hal ini berkaitan dengan

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB III RANCANGAN SISTEM. dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram

BAB III RANCANGAN SISTEM. dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram BAB III RANCANGAN SISTEM 3.1. Diagram Blok Rangkaian Diagram blok merupakan gambaran dasar dari rangkaian sistem yang akan dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram

Lebih terperinci

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar

III. METODE PENELITIAN. Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar 28 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian tugas akhir ini dilaksanakan di Laboratorium Elektronika Dasar dan Laboratorium Pemodelan Jurusan Fisika Universitas Lampung. Penelitian

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Tujuan dari pengujian alat pada tugas akhir ini adalah untuk mengetahui sejauh mana kinerja sistem yang telah dibuat dan untuk mengetahui penyebabpenyebab ketidaksempurnaan

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA. mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak

BAB IV PENGUJIAN DAN ANALISA. mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak BAB IV PENGUJIAN DAN ANALISA Langkah pengujian bertujuan untuk mendapatkan data-data sejauh mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak kesalahan bila sistem yang dibuat ternyata

Lebih terperinci

DT-51 Application Note

DT-51 Application Note DT-51 Application Note AN36 Dot Matrix Display Oleh: Tim IE & Fernandhy Kusmiawan S. (Universitas Kristen Petra) Salah satu display yang cukup diminati adalah dot matrix. Berbeda dengan seven segment atau

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Dalam bidang teknologi, orientasi produk teknologi yang dapat dimanfaatkan untuk kehidupan manusia adalah produk yang berkualitas, hemat energi, menarik, harga murah, bobot ringan,

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

USER MANUAL PINTU GESER OTOMATIS MATA DIKLAT:SISTEM PENGENDALI ELEKTRONIKA

USER MANUAL PINTU GESER OTOMATIS MATA DIKLAT:SISTEM PENGENDALI ELEKTRONIKA USER MANUAL PINTU GESER OTOMATIS MATA DIKLAT:SISTEM PENGENDALI ELEKTRONIKA SISWA TEKNIK ELEKTRONIKA INDUSTRI 2 JURUSAN TEKNIK ELEKTRONIKA INDUSTRI SEKOLAH MENENGAH KEJURUAN SMK NEGERI 3 BOYOLANGU CREW

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

PENGONTROL ROBOT. Dosen : Dwisnanto Putro, S.T, M.Eng. Published By Stefanikha69

PENGONTROL ROBOT. Dosen : Dwisnanto Putro, S.T, M.Eng. Published By Stefanikha69 PENGONTROL ROBOT Dosen : Dwisnanto Putro, S.T, M.Eng Pengontrol Pengendali atau Pengontrol merupakan suatu instrument atau alat yang berfungsi untuk mengendalikan sesuatu yang akan dikendalikan. Pengendali

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang BAB I PENDAHULUAN 1.1. Latar Belakang Masalah PLC (Programmable Logic Control) merupakan suatu peralatan yang digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang biasanya digunakan

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

PENGAMAN PINTU OTOMATIS MENGGUNAKAN KEYPAD MATRIKS BERBASIS MIKROKONTROLER AT89S52 PADA LABORATORIUM STMIK-MURA LUBUKLINGGAU

PENGAMAN PINTU OTOMATIS MENGGUNAKAN KEYPAD MATRIKS BERBASIS MIKROKONTROLER AT89S52 PADA LABORATORIUM STMIK-MURA LUBUKLINGGAU PENGAMAN PINTU OTOMATIS MENGGUNAKAN KEYPAD MATRIKS BERBASIS MIKROKONTROLER AT89S52 PADA LABORATORIUM STMIK-MURA LUBUKLINGGAU YUNITA TRIMARSIAH - AMIK AKMI Baturaja Jl. Jend A Yani No. 267-A, Tj. Baru,

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT. 3.1 Blok ahap ini akan diketahuin alurdiagram Rangkaian

BAB III PERANCANGAN DAN PEMBUATAN ALAT. 3.1 Blok ahap ini akan diketahuin alurdiagram Rangkaian BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai proses perancangan mekanik dan penyusunan rangkaian untuk merealisasikan sistem alat. Adapun sistem alat yang dibuat dan dirancang

Lebih terperinci

BAB II TINJAUAN PUSTAKA. 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan

BAB II TINJAUAN PUSTAKA. 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan 4 BAB II TINJAUAN PUSTAKA 2.1PHOTODIODA Dioda foto adalah jenis dioda yang berfungsi mendeteksi cahaya. Berbeda dengan dioda biasa, komponen elektronika ini akan mengubah cahaya menjadi arus listrik. Cahaya

Lebih terperinci

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian dan perancangan tugas akhir dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 sampai dengan

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

TUGAS AKHIR EDHRIWANSYAH NST

TUGAS AKHIR EDHRIWANSYAH NST PERENCANAAN DAN PEMBUATAN KENDALI MOTOR SEBAGAI PENGGERAK PINTU OTOMATIS MASUKAN RFID (RADIO FREQUENCY IDENTIFICATIONS) BERBASIS MIKROKONTROLER AT90S2313 (HARDWARE) TUGAS AKHIR Diajukan untuk melengkapi

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA Sudah menjadi trend saat ini bahwa pengendali suatu alat sudah banyak yang diaplikasikan secara otomatis, hal ini merupakan salah satu penerapan dari perkembangan teknologi dalam

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING

MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING MODUL PRAKTIKUM MIKROPOSESOR & INTERFACING Oleh Fitri Adi Iskandarianto, ST, MT Andi Rahmadiansah, ST. MT Lab ab.. Workshop Instrumentasi D3-Teknik Instrumentasi Jurusan Teknik Fisika Fakultas Teknologi

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS

BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS Pada bab ini menjelaskan tentang perancangan dan pembuatan alat simulasi Sistem pengendali lampu jarak

Lebih terperinci

BAB II LANDASAN TEORI. Pada bab ini akan dijelaskan mengenai studi pustaka alat pencatat score pada

BAB II LANDASAN TEORI. Pada bab ini akan dijelaskan mengenai studi pustaka alat pencatat score pada BAB II LANDASAN TEORI Pada bab ini akan dijelaskan mengenai studi pustaka alat pencatat score pada saat tanding pencak silat, teori-teori penunjang sistem alat Pencatat Score pada tanding pencak silat

Lebih terperinci

BAB I PENDAHULUAN. Seiring dengan kemajuan teknologi yang sangat pesat dewasa ini,

BAB I PENDAHULUAN. Seiring dengan kemajuan teknologi yang sangat pesat dewasa ini, BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Seiring dengan kemajuan teknologi yang sangat pesat dewasa ini, Perkembangan teknologi berbasis mikrokontroler terjadi dengan sangat pesat dan cepat. Kemajuan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERACAGA SISTEM Pada bab ini penulis akan menjelaskan mengenai perencanaan modul pengatur mas pada mobile x-ray berbasis mikrokontroller atmega8535 yang meliputi perencanaan dan pembuatan rangkaian

Lebih terperinci

Penyertaan fungsi, (3) Definisi Port, Deklarasi variabel dan (4) Fungsi Utama. Berdasarkan

Penyertaan fungsi, (3) Definisi Port, Deklarasi variabel dan (4) Fungsi Utama. Berdasarkan PROTOTIPE PENGENALAN ABJAD JARI UNTUK TUNA RUNGU BERBASIS ATMEGA 32 Oleh: Aan Setiawan NIM : 09507131013 ABSTRAK Tujuan pembuatan prototipe pengenalan abjad jari untuk tuna rungu berbasis ATmega 32 adalah

Lebih terperinci

ROBOT ULAR PENDETEKSI LOGAM BERBASIS MIKROKONTROLER

ROBOT ULAR PENDETEKSI LOGAM BERBASIS MIKROKONTROLER ROBOT ULAR PENDETEKSI LOGAM BERBASIS MIKROKONTROLER Jefta Gani Hosea 1), Chairisni Lubis 2), Prawito Prajitno 3) 1) Sistem Komputer, FTI Universitas Tarumanagara email : Jefta.Hosea@gmail.com 2) Sistem

Lebih terperinci