Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Ukuran: px
Mulai penontonan dengan halaman:

Download "Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)"

Transkripsi

1 Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com I. Pendahuluan Analisa model bertujuan untuk melihat kemampuan kerja sistem dalam suatu pekerjaan. Sistem ini merupakan sistem kompleks, dan bisa dikatakan dasar suatu sistem. Ada banyak jalur yang menghubungkan berbagai jenis perangkat dan memori pada sistem jaringan proses pengiriman dan penerimaan data yang dilakukan oleh switch. Jika inti sistem, jalur data, atau switch tidak memiliki kapasitas cukup, maka akan banyak antrian data yang akan diproses, atau data yang akan dikirim, sehingga tingkat kualitas kerja suatu sistem akan menurun. Analisa sistem berfungsi untuk menetapkan kerja dan banyaknya antrian. Setiap sumber pada sistem ditugaskan untuk menyelesaikan pekerjaannya dalam waktu yang telah ditentukan. Waktu pelaksanaan dapat tetap pada sumber yang bersifat khusus atau digambarkan dengan kemampuan untuk mengirimkan suatu fungsi berdasarkan banyaknya waktu yang diperlukan. Masukan eksternal pada sistem member tugas sistem penerima didalamnya untuk selalu mengecek data yang telah masuk. Analisa model juga diperlukan untuk menentukan bagaimana sistem dapat selalu terhubung. Jika sistem sedang sibuk, maka ketika data baru datang, sistem dapat menentukan data yang menjadi prioritas untuk diproses terlebih dulu. Untuk menjalankan sistem ini, biasanya digunakan suatu sistem kontrol atau sebuah protokol penggunaan sistem protokol dalam mengendalikan interupsi agar sistem bekerja lama. Proses kedatangan data memerlukan peningkatan kapasitas antrian, memanggil fungsi yang bertugas menunggu proses kedatangan data berikutnya, dan memanggil fungsi lain untuk menyempurnakan proses penerimaan data. Hal tersebut dapat mengurangi antrian data dan jika sudah tidak ada antrian lagi, maka dapat dipanggil fungsi lain untuk mengecek dan melengkapi data yang telah diterima.

2 II. Sistem Model Behavioral Perancang memanfaatkan perilaku suatu sistem untuk membuat algoritma. Dengan mengetahui perilaku sistem, akurasi sistem dapat diatur, tetapi waktunya tergantung pada sistem. Selama perilaku model tersebut masih akurat, maka perancang tidak bisa membuat sendiri model yang dapat menggambarkan proses suatu sistem dalam satu siklus. Perilaku fungsi dapat diaplikasikan pada model register transfer logic (RTL). III. Sistem Model RTL Sistem model RTL merupakan salah satu sistem model yang sangat lengkap dan memiliki tingkat verifikasi sistem. Perancang membuat model RTL untuk diimplementasikan pada rancangan subsistem. Penggunaan sistem ini harus mengikuti gaya pemodelan sintesis dan harus menggunakan hirarki. IV. Gaya Pemodelan Sintesis Synthesis tool tidak dapat digunakan untuk menentukan perilaku suatu sistem, karena adanya kebebasan pewaktuan. Agar sistem dapat besifat synthesis, maka dbuat pemodelan setiap siklus clock. Di satu sisi, RTL dapat mendeskripsikan suatu operasi yang sama lebih rinci, selain itu, dapat mengendalikan sinyal dan menentukan waktu siklus yang digunakan. Di sisi lain, jika model ini digunakan sebagai synthesis tool, maka tingkat model tersebut tidak boleh terlalu rendah. Synthesis tool dapat diimplementasikan dalam bentuk gerbang HDL yang bekerja sebagaimana RTL. Manfaat fleksibilitas tool ini adalah dapat digunakan untuk merancang tingkat yang tertinggi dari suatu sistem. Perancang dapat memilih implementasi yang berbeda untuk setiap synthesis yang digunakan, sehingga implementasinya dapat berjalan secara optimal. Pemodelan pada tingkat tinggi membolehkan synthesis tool membuat implementasi pada tingkat tinggi tanpa batas. Dengan tanpa batas, membuat pengeluarannya akan tidak optimal. Pemodelan pada tingkat rendah membuat suatu sistem yang dapat diprediksi, namun pemodelan pada tingkat bawah memerlukan waktu yang banyak dan kurang memberikan pengaruh pada simulasi. V. Hirarki Rancangan Alasan menggunakan hirarki perancangan adalah :

3 Clock domain, Synthesis tool dapat menangangani banyak clock pada satu periode. Jika rancangan mempunyai clock dengan periode yang berbeda, maka rancangan perlu dibagi dengan clock domain, sehingga logiknya mempunyai domain yang berbeda, dapat disynthesis dan dioptimalkan secara terpisah. Keperluan design for test (DFT), bagian perancangan menggunakan jenis berbeda dari penyimpan (misal edge-sensitive atau level-sensitive), atau skema clock yang berbeda (single phase clock atau two phase clock), kemudian rancangan perlu dibagi, sehingga penyisipan logic test dapat ditampilkan dengan baik pada bagian yang berbeda dari rancangan. Datapath dan logic control terpisah satu sama lain dari fasilitas sharing resources untuk operasi yang rumit dan dari penggunaan datapath generator. Resources sharing, rancangan harus sebanyak mungkin dibagi, sehingga share logic tidak digandakan sebagian dari rancangan. Optimalisasi critical path, pembagian rancangan mampu memfasilitasi critical path. Jika dimungkinkan, masukan atau keluaran harus diregistrasi untuk membantu pendefinisian timing budget dan pembuatan masukan dan kebutuhan waktu keluaran. VI. Rancangan Datapath Pada rancangan tingkat sistem, pemakaian datapath umumnya terbatas, fungsi chip mempunyai kinerja tinggi seperti digital signal processing (DSP). Fungsi komputasi tingkat tinggi secara umum membutuhkan metodologi custom design. Walaupun sebagian tipe ini, chip berkomputasi data tinggi dirancang pada sebagian dari porsi ASIC. Banyak iterasi di bagian ASIC atau IP dari sistem perancangan terjadi secara wajar dan tidak tergantung dari porsi datapath custom. Datapath design memungkinkan untuk diselesaikan pada sistem tingkat perancangan. Namun, kemampuan fisik terbuka untuk mengikuti integrasi tingkat sistem pada chip. Datapath menjadi kebutuhan umum dari beberapa aplikasi IC, dengan tren lanjut dari perancangan yang mempunyai kinerja tinggi, komputasi intensif pada chip, kenaikan tingkat sistem terintegrasi pada chip, penampilan dari datapath dalam rancangan chip yang semakin lama semakin rumit.

4 Metode yang paling efektif dalam memaksimalkan kinerja datapath adalah dengan mengefektifkan pengaturan fungsi datapath yang tepat. Pada tingkat arsitektur, perancang memiliki kemampuan dan kontrol pada kinerja rancangan. Misal, keputusan arsitektur mungkin perlu memutuskan jumlah kebutuhan pipeline untuk menspesifikasi kinerja ataukah fungsi datapath harus dibagi ke dalam multiple datapath dalam menentukan spesifikasi kinerja. Rancangan datapath didistribusikan dari waktu yang digunakan perancang dalam membagi arsitektur dan kerja antara perancangan IC dengan implementasi perancangan fisik, yang sedikit terpengaruh pada fase. Sehingga, total langkah perancangan dari konsep ke layout, adalah 10 persen untuk arsitektur, 10 persen utnuk perancangan IC, dan 80 persen untuk layout fisik dan verifikasi. VII. Teknologi Capture Design Teknologi capture design dapat dibagi menjadi beberapa kategori, yaitu : Bahasa pemrograman C or perl digunakan untuk model sistem, yang bertujuan untuk verifikasi kinerja dan algoritma. Model rancangan dalam C atau perl dieksekusi lebih cepat daripada HDL, dan mempunyai fasilitas eksperimen perancangan lebih lengkap. HDL digunakan untuk model implementasi RTL, yang bertujuan untuk membuat model behavior dari rangkaian elektronika pada setiap siklus clock. Bahasa pemrograman yang dibutuhkan untuk hardware decription language adalah verilog dan VLSI hardware (VHDL) yang mempunyai kekuatan pemodelan, walaupun VHDL adalah standar pemerintah US. High-Level design tool Blok diagram editor Grafik editor ini digunakan perancang untuk memvisualisasikan arsitektur sistem ataupun subsistem. Perancanga dapat menambahkan fungsional atau deskripsi algortima untuk subsistem atau subblok dalam blok diagram. Language-sensitive editor Teks editor disediakan untuk membantu pemodelan menggunakan bahasa pemograman, untuk mengerti sintaks dan semantik yang benar dari bahasa yang digunakan, dan dapat mensarankan dan memperlihatkan kesalahan suatu rancangan.

5 Alat visualisasi Pada level tinggi, alat aplikasi visualisasi sangat diperlukan dalam analisa rancangan. HDL generator HDL generator merupakan alat yang dapat membuat sebuah deskripsi HDL dari tingkat model sistem. Daftar Pustaka Browy, C., Gullikson G., Indovina M., 1997, A Top-Down Approach Design to IC Design, Free published. Pratt, G. and Jarett, J., 2001, Top-Down Design Methods Bring Back The Useful Schematic Diagram, Mentor Graphics Corp. Stan, M., Cabe, A., Ghosh, S., Qi Z., 2007, Teaching Top-Down ASIC/SoC Design vs Bottom-Up Custom VLSI, IEEE International Conference on Microelectronic Systems Education (MSE 07)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University METODOLOGI AAA Eri Prasetyo Wibowo Gunadarma University APA ITU METODOLOGI AAA - "AAA" merupakan kependekan dari Algorithm Architecture Adequation - Adequation sendiri berarti sebuah mapping yang efisien

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Istilah cryptocurrency akhir-akhir ini (setelah kemunculan bitcoin pada tahun 2009) secara terus menerus menjadi lebih banyak dibicarakan oleh banyak kalangan. Dimulai

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 2 dari rencana 3 tahun

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582 ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH Hendra Setiawan 1*, Fahmi Nugraha 1 1 Program Studi Teknik Elektro, Fakultas Teknologi Industri, Universitas Islam Indonesia Jl. Kaliurang km.14.5,

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB 1 PENDAHULUAN. Sebuah embedded system berbasis mikrokontroller umumnya memiliki

BAB 1 PENDAHULUAN. Sebuah embedded system berbasis mikrokontroller umumnya memiliki BAB 1 PENDAHULUAN 1.1. Latar Belakang Masalah Sebuah embedded system berbasis mikrokontroller umumnya memiliki pilihan tampilan keluaran yang terbatas. Jenis tampilan yang biasa digunakan adalah LCD dot-matrix

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

BABI PENDAHULUAN 1.1 Latar Belakang

BABI PENDAHULUAN 1.1 Latar Belakang BABI PENDAHULUAN 1.1 Latar Belakang Saat ini transformasi wavelet banyak sekali digunakan dan bermanfaat untuk analisis numerik, analisis isyarat, aplikasi kontrol dan aplikasi audio [1]. Dalam analisis

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Chapter 6 Input/Output

Chapter 6 Input/Output Chapter 6 Input/Output Masalah-masalah Input/Output Periferal yang bervariasi Pengiriman jumlah data yang berbeda Dengan kecepatan yang berbeda Dalam format yang berbeda Semua periferal I/O berkecepatan

Lebih terperinci

Organisasi & Arsitektur. Komputer. Org & Ars komp Klasifikasi Ars Komp Repr Data

Organisasi & Arsitektur. Komputer. Org & Ars komp Klasifikasi Ars Komp Repr Data Organisasi & Arsitektur Komputer Org & Ars komp Klasifikasi Ars Komp Repr Data Organisasi berkaitan dengan fungsi dan desain bagianbagian sistem komputer digital yang menerima, menyimpan dan mengolah informasi.

Lebih terperinci

Tujuan 04/07/ :01

Tujuan 04/07/ :01 Sistem Basis Data : Perancangan Perangkat Lunak Tujuan Mahasiswa mampu memahami analisis dan desain model database Mahasiswa paham dan mengerti konsep desain database Mahasiswa mengerti desain arsitektur

Lebih terperinci

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY Ferry Wahyu Wibowo Dosen STMIK AMIKOM Yogyakarta ferrywahyu@gmail.com Abstrak Telah dibuat rangkaian yang berfungsi

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

William Stallings Computer Organization and Architecture

William Stallings Computer Organization and Architecture William Stallings Computer Organization and Architecture Chapter 3 Sistem Bus (sistem dan struktur interkoneksi komputer) Konsep Program Sistem Hardware-nya tidak dapat diubah-ubah Fungsi kerja hardware

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

Komponen-komponen Komputer

Komponen-komponen Komputer PERTEMUAN II Komponen-komponen Komputer Komponen CPU Register Register yang terdapat dalam CPU, yaitu : MAR (Memory Address Register) Menentukan alamat di dalam memori yang akan diakses untuk operasi Read/Write

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN

PERANCANGAN DAN REALISASI INFORMASI TIMING DAN PAGING BERBASIS FPGA BAB I PENDAHULUAN BAB I PENDAHULUAN 1.1. Latar Belakang Indonesia merupakan negara kepulauan yang sangat luas, membutuhkan suatu sistem navigasi yang handal dan mandiri. Dengan adanya sistem navigasi, akan memudahkan penentuan

Lebih terperinci

BAB I PENDAHULUAN. kita sehari-hari. Aplikasi-aplikasi berbasis prosesor sudah sangat banyak tersedia

BAB I PENDAHULUAN. kita sehari-hari. Aplikasi-aplikasi berbasis prosesor sudah sangat banyak tersedia BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Dewasa ini, penggunaan teknologi mikroprosesor khususnya embedded microprocessor telah menjadi hal yang sangat umum dijumpai dalam kehidupan kita sehari-hari.

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

Pertemuan Ke 2 Arsitek tur Dasar Komputer

Pertemuan Ke 2 Arsitek tur Dasar Komputer Pertemuan Ke 2 Arsitek tur Dasar Komputer ARSITEKTUR KOMPUTER 1 Rahajeng Ratnaningsih, S.Kom STMIK AUB SURAKARTA Tujuan Perkuliahan 1. Menjelaskan tentang arsitektur komputer 2. Menjelaskan perbedaan utama

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

TI2043 Organisasi dan Arsitektur Komputer Tugas 2 Interrupt Driven I/O

TI2043 Organisasi dan Arsitektur Komputer Tugas 2 Interrupt Driven I/O TI2043 Organisasi dan Arsitektur Komputer Tugas 2 Interrupt Driven I/O Aditya Legowo Pra Utomo 2B 08501039 Tugas ini disusun untuk memenuhi salah satu tugas Mata Kuliah Organisasi dan Arsitektur Komputer

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

Sejarah mikroprosessor

Sejarah mikroprosessor Sejarah mikroprosessor adiatma adiatma@raharja.info Abstrak Mikroprosessor, dikenal juga dengan sebutan central processing unit (CPU) artinya unit pengolahan pusat. CPU adalah pusat dari proses perhitungan

Lebih terperinci

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler BAB II PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F005 2.1 Pengenalan Mikrokontroler Mikroprosesor adalah sebuah proses komputer pada sebuah IC (Intergrated Circuit) yang di dalamnya terdapat aritmatika,

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang Masalah

BAB I PENDAHULUAN 1.1. Latar Belakang Masalah BAB I PENDAHULUAN 1.1. Latar Belakang Masalah Untuk mendapatkan pelayanan yang diinginkan pada tempat-tempat tertentu kadang mengharuskan kita untuk mengantri untuk menunggu giliran seperti di bank saat

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI II.1. Sistem Informasi Sistem informasi adalah sekumpulan elemen yang saling bekerja sama baik secara manual atau berbasis komputer yang didalamnya ada pengumpulan, pengolahan, pemprosesan

Lebih terperinci

BAB I PENDAHULUAN. adalah kamera CCTV (Closed Circuit Television). Perangkat CCTV dapat

BAB I PENDAHULUAN. adalah kamera CCTV (Closed Circuit Television). Perangkat CCTV dapat BAB I PENDAHULUAN I.1. Latar Belakang Tindak kejahatan yang marak saat ini menuntut diciptakan sesuatu sistem keamanan yang dapat membantu memantau dan mengawasi segala sesuatu yang berharga. Salah satu

Lebih terperinci

Pertemuan ke - 12 Unit Masukan dan Keluaran Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST

Pertemuan ke - 12 Unit Masukan dan Keluaran Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST Pertemuan ke - 12 Unit Masukan dan Keluaran Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST Politeknik Elektronika Negeri Surabaya Tujuan Menjelaskan system komputer unit masukkan/keluaran

Lebih terperinci

IMPLEMENTASI TEKNOLOGI FIELD PROGRAMMABLE GATE ARRAY (FPGA) PADA ALAT IDENTIFIKASI ODOR

IMPLEMENTASI TEKNOLOGI FIELD PROGRAMMABLE GATE ARRAY (FPGA) PADA ALAT IDENTIFIKASI ODOR IMPLEMENTASI TEKNOLOGI FIELD PROGRAMMABLE GATE ARRAY (FPGA) PADA ALAT IDENTIFIKASI ODOR Dini Fakta Sari 1,2, Muhammad Rivai 1, Totok Mujiono 1, Tasripan 1 1 Program Pascasarjana,Jurusan Teknik Elektro,

Lebih terperinci

Pertemuan Ke-8 Unit I/O (Unit Masukan dan Keluaran)

Pertemuan Ke-8 Unit I/O (Unit Masukan dan Keluaran) Pertemuan Ke-8 Unit I/O (Unit Masukan dan Keluaran) Sistem komputer memiliki tiga komponen utama, yaitu : CPU, memori (primer dan sekunder), dan peralatan masukan/keluaran (I/O devices) seperti printer,

Lebih terperinci

Inverter Satu Fase dengan Pola Penyaklaran SPWM

Inverter Satu Fase dengan Pola Penyaklaran SPWM 17 Januari 2013 Inverter Satu Fase dengan Pola Penyaklaran SPWM Yohan Fajar Sidik yohanfajarsidik@live.com PPT ini untuk anak magatrika. Abstrak PPT ini dibuat untuk presentasi tugas akhir mata kuliah

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB 2 STRUKTUR SISTEM OPERASI. Komponen Sistem Operasi

BAB 2 STRUKTUR SISTEM OPERASI. Komponen Sistem Operasi BAB 2 STRUKTUR SISTEM OPERASI Komponen Sistem Operasi Review : Blok sistem komputer bus (saluran) data Piranti masukan Port I/O Bus kendali CPU Bus kendali Piranti keluaran bus (saluran) alamat Memori

Lebih terperinci

BAB 4 PERANCANGAN ARSITEKTUR 2K FFT-IFFT CORE

BAB 4 PERANCANGAN ARSITEKTUR 2K FFT-IFFT CORE BAB 4 PERANCANGAN ARSITEKTUR 2K FFT-IFFT CORE Pada bab ini dibahas mengenai perancangan arsitektur 2k FFT-IFFT Core berdasarkan model Matlab yang telah dibuat sebelumnya. Terdapat dua pendekatan arsitektur

Lebih terperinci

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC Veronica Ernita K. 1), Erma Triawati Ch 2) 1,2,3) Jurusan Teknik Elektro Universitas Gunadarma Jl. Margonda Raya No. 100, Depok 16424, Jawa Barat, Indonesia

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

Recap. Proses. Proses. Multiprogramming. Multiprocessing 9/16/2016. Ricky Maulana Fajri

Recap. Proses. Proses. Multiprogramming. Multiprocessing 9/16/2016. Ricky Maulana Fajri Recap Pengertian Sistem Operasi? Generasi Sistem Operasi? Arsitektur Sistem Operasi Ricky Maulana Fajri Proses Outline Proses Multiprogramming, Multiprocessing, Distributed Processing Diagram State Proses

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB I. PENDAHULUAN 1.1. Latar Belakang

BAB I. PENDAHULUAN 1.1. Latar Belakang BAB I. PENDAHULUAN 1.1. Latar Belakang Failure adalah ketidakmampuan dari sebuah sistem untuk melakukan operasi dari kebutuhan yang telah ditetapkan (Chillarege, 2014). Fault adalah beberapa sebab yang

Lebih terperinci

DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8.

DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8. DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8.5 CAD Sri Cahyo Kasihono, 21104992 Mahasiswa Sarjana Strata Satu (S1) Jurusan

Lebih terperinci

SIMULASI PERBANDINGAN PENJADWALAN ROUND ROBIN DAN FCFS UNTUK MANAJEMEN PROSES DALAM SINGLE PROCESSING

SIMULASI PERBANDINGAN PENJADWALAN ROUND ROBIN DAN FCFS UNTUK MANAJEMEN PROSES DALAM SINGLE PROCESSING SIMULASI PERBANDINGAN PENJADWALAN ROUND ROBIN DAN FCFS UNTUK MANAJEMEN PROSES DALAM SINGLE PROCESSING Masrizal STMIK Dumai Program Studi Sistem Informasi Jl. Utama Karya, Bukit Batrem, Dumai masrizalrizal@yahoo.com

Lebih terperinci

BAHASA PEMROGRAMAN. Merupakan prosedur/tata cara penulisan program.

BAHASA PEMROGRAMAN. Merupakan prosedur/tata cara penulisan program. BAHASA PEMROGRAMAN PROGRAM Kata, ekspresi, pernyataan atau kombinasinya yang disusun dan dirangkai menjadi satu kesatuan prosedur yang berupa urutan langkah untuk menyelesaikan masalah yang diimplementasikan

Lebih terperinci

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON Oleh : Agus Mulyana 23207025 MAGISTER TEKNIK ELEKTRO SEKOLAH TINGGI ELEKTRO DAN INFORMATIKA INSTITUT TEKNOLOGI

Lebih terperinci

Organisasi Komputer & Organisiasi Prosesor

Organisasi Komputer & Organisiasi Prosesor Organisasi Komputer & Organisiasi Prosesor Organisasi Sistem Komputer Priyanto E-mail : priyanto@uny.ac.id Mobile: 0811282609 Program Studi Pendidikan Teknik Informatika Jurusan Pendidikan Teknik Elektronika

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

APLIKASI PEMBANGKIT PWM SINUSOIDA 1 FASA BERBASIS MIKROKONTROLER ATMEGA8535 SEBAGAI PENGGERAK MOTOR INDUKSI

APLIKASI PEMBANGKIT PWM SINUSOIDA 1 FASA BERBASIS MIKROKONTROLER ATMEGA8535 SEBAGAI PENGGERAK MOTOR INDUKSI APLIKASI PEMBANGKIT PWM SINUSOIDA 1 FASA BERBASIS MIKROKONTROLER ATMEGA8535 SEBAGAI PENGGERAK MOTOR INDUKSI Budi Santoso 1, Bambang Sutopo 2 1 Penulis, Mahasiswa S-1 Teknik Elektro UGM, Yogyakarta 2 Dosen

Lebih terperinci

DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL

DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL DESAIN DAN ANALISIS PENDEKODE VITERBI MENGGUNAKAN SATU BUTTERFLY BERBASIS BAHASA VHDL Iswahyudi Hidayat Departemen Teknik Elektro - Institut Teknologi Telkom Bandung e-mail: isw@stttelkom.ac.id Abstraks

Lebih terperinci

Struktur Sistem Komputer

Struktur Sistem Komputer Struktur Sistem Komputer ARSITEKTUR UMUM SISTEM KOMPUTER Sistem Komputer Sistem komputer terdiri atas CPU dan sejumlah perangkat pengendali yang terhubung melalui sebuah bus yang menyediakan akses ke memori

Lebih terperinci

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2)

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Pokok Bahasan Pendahuluan Arsitektur

Lebih terperinci

PERANCANGAN UNTAI PENCARI POLINOMIAL LOKASI KESALAHAN MENGGUNAKAN ALGORITMA BERLEKAMP-MASSEY UNTUK SANDI BCH (15,5) YANG EFISIEN BERBASIS FPGA MAKALAH

PERANCANGAN UNTAI PENCARI POLINOMIAL LOKASI KESALAHAN MENGGUNAKAN ALGORITMA BERLEKAMP-MASSEY UNTUK SANDI BCH (15,5) YANG EFISIEN BERBASIS FPGA MAKALAH PERANCANGAN UNTAI PENCARI POLINOMIAL LOKASI KESALAHAN MENGGUNAKAN ALGORITMA BERLEKAMP-MASSEY UNTUK SANDI BCH (5,5) YANG EFISIEN BERBASIS FPGA MAKALAH FRANSISKA 98/046/TK/764 JURUSAN TEKNIK ELEKTRO FAKULTAS

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci