Finite State Machine (FSM)

Ukuran: px
Mulai penontonan dengan halaman:

Download "Finite State Machine (FSM)"

Transkripsi

1 Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015

2 Pendahuluan Apa beda rangkaian Sekuensial dengan rangkaian Kombinasional? Mempunyai memori (state) Status sekarang (Present State = Q t ) tidak hanya ditentukan oleh masukan (input) sekarang, tetapi juga oleh semua masukan sebelumnya (history) Status yang akan datang (Next State = Q t+1 ) bergantung pada masukan dan status sekarang Contoh rangkaian kombinasional: ALU, adder, decoder, MUX, dll Contoh rangkaian sekuensial: CPU, Flip-flop, manusia (kondisi besok tidak hanya tergantung pada kondisi saat ini, tapi dipengaruhi oleh kondisi besok) Z( t) F( X ( t), Q( t)) Q( t ) G( X ( t), Q( t)) Sistem dan Logika Digital/2015 #1

3 Urutan Keadaan/State Urutan naik (up) sederhana dalam biner yang menunjukkan Present State (PS) dan Next State (NS): Urutan naik/turun (up/down) 2 arah dalam biner yang menunjukkan PS dan NS ditentukan oleh nilai X: Urutan naik/turun (up/down) 2 arah dalam biner yang menghasilkan output X pada state 111 (tanpa syarat): Urutan naik/turun (up/down) 2 arah dalam biner yang menghasilkan output X pada state 111 jika input = X (ada syaratnya): Sistem dan Logika Digital/2015 #2

4 Jenis Rangkaian Sekuensial Jenis: Sinkron: Berjalan secara serentak atau bersama-sama Clock-nya hanya satu (terpusat) Asinkron: Berjalan sendiri-sendiri Desentralisasi Sistem dan Logika Digital/2015 #3

5 Desain Synchronous Menggunakan Clock untuk meng-sinkronkan semua operasi Flip-Flop (FF), register, dan counter pada sistem Semua perubahan terjadi secara langsung mengikuti perubahan clock Periode clock harus cukup sehingga semua perubahan FF, register, dan counter memiliki waktu yang cukup untuk menstabilkan statusnya sebelum clock berubah ke keadaan selanjutnya Typical design: Control section + Data Section Sistem dan Logika Digital/2015 #4

6 Prinsip Mendesain Synchronous Metoda Semua input clock ke flip-flop, register, counter, dll, digerakkan secara langsung dari clock sistem atau dari clock yang di-and-kan dengan kontrol sinyal Hasil Semua state berubah secara langsung mengikuti perubahan sinyal clock dalam keadaan active edge Keuntungan Semua switching transients, switching noise, dll. terdapat di antara clock pulse -> tidak saling mendahului Tidak memiliki efek terhadap performansi sistem Sistem dan Logika Digital/2015 #5

7 Desain Asynchronous Kerugian Lebih sulit Masalah Race conditions: final state tergantung urutan perubahan variabel Dapat terjadi hazard Diperlukan teknik khusus untuk mendesain agar kondisi race dan hazard terhindari Keuntungan = kerugian dari desain synchronous Pada desain high-speed synchronous delay propagasi pada wiring sangat signifikan Sinyal clock harus hati-hati di-rute-kan sehingga dapat menjangkau semua perangkat pada waktu yang sama Input tidak sinkron dengan clock Perlu sinkronisasi Dalam keadaan terburuk siklus clock didefinisikan oleh delay Sistem dan Logika Digital/2015 #6

8 Model Rangkaian Sekuensial (1) Urutan state: Model rangkaiannya: Sistem dan Logika Digital/2015 #7

9 Model Rangkaian Sekuensial (2) Urutan state: Model rangkaiannya: = X atau X Model: Moore Mealy Sistem dan Logika Digital/2015 #8

10 Model Rangkaian Sekuensial Moore (1) Output hanya tergantung Present State (PS) PS = Present State NS = Next State IP = Input OP = Output PS ditentukan oleh NS NS ditentukan oleh Input dan PS Output hanya ditentukan oleh PS Sistem dan Logika Digital/2015 #9

11 Model Rangkaian Sekuensial Moore (2) Urutan state: output PS Model rangkaiannya: = X atau X Sistem dan Logika Digital/2015 #10

12 Model Rangkaian Sekuensial Moore (3) Combinational Network Output(Z) Inputs(X) Combinationa l Network Next State Clock Memori = State Register = FF Present State(Q) Next State dan Output diimplementasikan dengan rangkaian kombinasional Memory diimplementasikan dengan state register (misal Flip-flop) X = x 1 x 2... x n Q = Q 1 Q 2... Q k Q(t ) G( X(t Z = z 1 z 2... z m Z(t ) F(Q(t )) ), Q(t )) Sistem dan Logika Digital/2015 #11

13 Model Rangkaian Sekuensial Mealy (1) PS ditentukan oleh NS NS ditentukan oleh Input dan PS Output TIDAK HANYA ditentukan oleh PS, tetapi PS dan Input Sistem dan Logika Digital/2015 #12

14 Model Rangkaian Sekuensial Mealy (2) Urutan state: output PS Model rangkaiannya: = X atau X Sistem dan Logika Digital/2015 #13

15 Model Rangkaian Sekuensial Mealy (3) Gabungan dari NS forming logic dan Output forming logic (1) X input diubah ke nilai yang baru (2) Setelah delay, Z output dan next state tampil sebagai output di CN (3) Next State dihubungkan sebagai state register dan perubahan state Sistem dan Logika Digital/2015 #14

16 Cara untuk menggambarkan State Dengan Finite State Machine (FSM) Jumlah state harus berhingga/terbatas (2 hingga 2 N ) Seperti Data Flow Diagram (DFD) Dengan Algorithmic State Machine (ASM) Seperti Flowchart Sistem dan Logika Digital/2015 #15

17 Finite State Machine (FSM) (1) Representasi FSM: Dengan Diagram Keadaan Dengan Tabel Transisi Keadaan Dengan Hardware Description Language VHDL Verilog ABEL Sistem dan Logika Digital/2015 #16

18 Finite State Machine (FSM) (2) Notasi pada Diagram Keadaan: Terdapat 4 state (d, e, f, g) Input/state map untuk state e: XY + X Y + Y = 1 Sistem dan Logika Digital/2015 #17

19 Finite State Machine (FSM) (3) Contoh Diagram Keadaan dengan FSM: Contoh Hardware Description Program: Sistem dan Logika Digital/2015 #18

20 Pustaka [TIN91] Tinder, Richard F Digital Engineering Design: A Modern Approach. - edition. Prentice Hall. Sistem dan Logika Digital/2015 #19

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Urut-Urutan Pembentukan Flip-Flop Fungsi Boolean

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis Pertemuan ke 4 1 BAB III Sintesis Rangkaian Sekuensial Deskripsi Pada bab ini akan dibahas tentang prosedur sintesis, sintesis diagram keadaan, rangkaian memori terbatas. Manfaat Memberikan kompetensi

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

PERCOBAAN 3 FLIP FLOP 1

PERCOBAAN 3 FLIP FLOP 1 PERCOBAAN 3 FLIP FLOP 3.. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Melakukan analisa rangkaian sekuensial dengan SR Flip-flop Mendisain rangkaian sekuensial dengan SR flip-flop

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Teknik Digital Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Pendahuluan Perancangan H/W (1) Mengapa perancangan

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

PERCOBAAN 6 COUNTER ASINKRON

PERCOBAAN 6 COUNTER ASINKRON PERCOBAAN 6 COUNTER ASINKRON 6.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Rangkaian dasar 3-bit Membuat Timing Diagram Counter Membuat MOD-n Membuat Up-Down 6.2.

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Analysis And Design of Digital System

Analysis And Design of Digital System Analysis And Design of Digital System Introduction Synchronous and Asynchronous Operation (1) Synchronous sequential circuits change their states and output values at discrete instants of time, which are

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock XII. RANGKAIAN LOGIKA SEKUENSIAL SINKRON A. PENDAHULUAN Input R.Kombinasi Onal Pulsa Clock Flip-Flop Output Pulsa Clock B. LATCHES 1. RS FF =Reset Set Flip -Flop =Bistable Simbol RS FF =One Bit Memory

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro ,, TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah, Sebelumnya dibahas elemen rangkaian sekuensial berupa flip-flop dan latch yang mampu menyimpan informasi

Lebih terperinci

Kuliah#11 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro

Kuliah#11 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro : : Kuliah#11 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik : Sebelumnya dibahas tentang rangkaian kombinasional yang nilai keluarannya di suatu

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

Kuliah#11 TKC-205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC-205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC-205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ ) 1 Tentang Kuliah Membahas

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

Analisis Rangkaian Sekuesial

Analisis Rangkaian Sekuesial Analisis Rangkaian Sekuesial a. Prosedur Analisis Clocked Synchronous State Machine Clocked artinya bahwa storage elemen (flip-flop) bekerja berdasarkan input clock, dan Synchronous artinya seluruh flip-flop

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2)

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Pokok Bahasan Pendahuluan Arsitektur

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : - FLIP FLOP - RS FF - JK FF - D FF - T FF 1 Salah satu rangkaian logika

Lebih terperinci

FLIP-FLOP (BISTABIL)

FLIP-FLOP (BISTABIL) FLIP-FLOP (BISTABIL) Rangkaian sekuensial adalah suatu sistem digital yang keadaan keluarannya pada suatu saat ditentukan oleh : 1. keadaan masukannya pada saat itu, dan 2. keadaan masukan dan/atau keluaran

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Semester 3 Counter Sinkron 2 menit No. LST/PTI/PTI6205/ Revisi: Tgl: 8 September 24 Page 1 of 5 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

Mesin Mealy. Bahasan Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Fakultas Teknik Universitas Diponegoro

Mesin Mealy. Bahasan Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Fakultas Teknik Universitas Diponegoro TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Bahasan Kuliah Model Mealy Model rangkaian sekuensial sinkron Keluaran rangkaian tergantung dari nilai

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

Rangkaian Sekuesial. [Rangkaian Sekuensial] BAB V

Rangkaian Sekuesial. [Rangkaian Sekuensial] BAB V Rangkaian Sekuesial a. Karakteristik Dasar Rangkaian Sekuensial Berdasarkan kemampuannya menyimpan data, rangkaian digital dibedakan menjadi 2 macam :. Rangkaian Kombinasional Pada rangkaian kombinasional,

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counter digunakan untuk berbagai operasi

Lebih terperinci

Register & Counter -7-

Register & Counter -7- Sistem Digital Register & Counter -7- Missa Lamsani Hal 1 Register dan Pencacah Register adalah kumpulan elemen-elemen memori yang bekerja bersama sebagai satu unit. Pencacah (counter) adalah merupakan

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : FLIP-FLOP RS FF JK FF D FF T FF FLIP-FLOP Salah satu rangkaian logika

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika Minggu ke 1 Pokok Bahasan dan TIU Pengantar tentang cakupan materi yang akan dibahas dalam organisasi

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

Gambar 1.1. Rangkaian Sekuensial

Gambar 1.1. Rangkaian Sekuensial Pertemuan ke BAB I Rangkaian Sekuensial () Deskripsi Pada bab ini akan dibahas tentang karakteristik rangkaian sekuensial dan, tabel karakteristik, dan tabel eksitasinya. Manfaat Memberikan kompetensi

Lebih terperinci

Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit)

Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit) Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit) Nama: Dosen: Tanda Tangan: Kelas: 1 (15) 2 (20) 3 (25) 4 (20) 5 (25) Total (Max Nilai = 105) Kerjakan di

Lebih terperinci

Arsitektur Prosesor MIPS Multi Siklus (Pertemuan ke-27)

Arsitektur Prosesor MIPS Multi Siklus (Pertemuan ke-27) Arsitektur Prosesor MIPS Multi Siklus (Pertemuan ke-27) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom April 2016 Implementasi Multisiklus Organisasi

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH ORGANISASI SISTEM KOMPUTER (MI) KODE / SKS KK /2

SATUAN ACARA PERKULIAHAN MATA KULIAH ORGANISASI SISTEM KOMPUTER (MI) KODE / SKS KK /2 tentang maksud perkuliahan, bilangan biner, aljabar bolean, gerbang logika dan flipflop. SATUAN ACARA PERKULIAHAN MATA KULIAH ORGANISASI SISTEM KOMPUTER (MI) KODE / SKS KK-03209/2 Minggu Pokok Bahasan

Lebih terperinci

XV. RAN AN KAIAN KAIAN SEKUEN EKU EN IAL ASINKR A. PENDAHULUAN R n a gk g aia i n sekuen e sia si l a in i kron

XV. RAN AN KAIAN KAIAN SEKUEN EKU EN IAL ASINKR A. PENDAHULUAN R n a gk g aia i n sekuen e sia si l a in i kron XV. RANGKAIAN SEKUENSIAL ASINKRON A. PENDAHULUAN Rangkaian tergantung untuk pada melakukan sekuensial signal input pengubahan ditentukan oleh variabel state. Setiap signal tidak asinkron eksternal disinkronkan

Lebih terperinci

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R BAB 4 RANGKAIAN LOGIKA IGITAL SEKUENSIAL Telah kita pelajari tentang unit logika kombinasional yang keluarannya hanya tergantung pada masukan saat itu atau dengan kata lain keluarannya merupakan fungsi

Lebih terperinci

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter?

BAB I PENDAHULUAN. 1.2 Rumusan Masalah 1. Apa pengertian Counter? 2. Apa saja macam-macam Counter? 3. Apa saja fungsi Counter? BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum melakukan percobaan, ada baiknya kita mempelajari serta memahami setiap percobaan yang akan kita lakukan. Tanpa disadari dalam membuat suatu makalah kita pasti

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum BAB VII FLIP FLOPS Sejauh ini rangkaian logika yang telah dibahas adalah rangkaian logika kombinatorial yang level-level outputnya pada setiap saat tertentu tergantung kepada level-level yang terdapat

Lebih terperinci

PERCOBAAN 2. FLIP-FLOP

PERCOBAAN 2. FLIP-FLOP PECOBAAN 2. FLIP-FLOP 2.. UUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membedakan sifat dasar S-FF dengan dan tanpa clock Membuat rangkaian Master Slave K-FF Menggunakan input-input

Lebih terperinci

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : 247-772 Penerapan Finite tate Machine Untuk Merancang Pengendali Motor tepper Menggunakan Vhdl Arief Budijanto Universitas Widya Kartika Email : arief232@yahoo.com

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EKO/DEL 214/04 Revisi : 03 Tgl : 1 Maret 2012 Hal 1 dari 6 A. Kompetensi Memahami cara kerja rangkaian Flip-Flop D, baik yang berjenis Level Sensitive Clocked D Flip-Flop maupun Edge-Triggered

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON ORBITH VOL. 13 NO. 2 Juli 2017 : 108 113 APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON Oleh: Lilik Eko Nuryanto Staf Pengajar Jurusan Teknik Elektro Politeknik Negeri Semarang Jl.Prof.

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

SATUAN ACARA PERKULIAHAN (SAP)

SATUAN ACARA PERKULIAHAN (SAP) SATUAN ACARA PERKULIAHAN (SAP) Nama Mata Kuliah : Arsitektur Sistem Komputer Kode Mata Kuliah : TI 017 Bobot Kredit : 3 SKS Semester Penempatan : IV Kedudukan Mata Kuliah : Mata Kuliah Keilmuan dan Keterampilan

Lebih terperinci

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP)

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Mata Kuliah : Arsitektur Komputer Bobot Mata Kuliah : 3 Sks GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Deskripsi Mata Kuliah : kepada mahasiswa secara mendalam mengenai konsep-konsep dari fungsi dan struktur

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro Elemen : dan Elemen : dan TKC-305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang desain blok rangkaian kombinasional beserta HDLnya.

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1 Programmable Logic Controller (PLC) Kemajuan teknologi yang berkembang pesat dewasa ini mengakibatkan industri sebagai produsen/penghasil barang menggunakan cara-cara otomatisasi

Lebih terperinci

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL Tommy NIM : 13507109 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung Jl. Ganesha no. 10 Bandung

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

Review Kuliah. TSK205 Sistem Digital. Eko Didik Widianto

Review Kuliah. TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang rangkaian kombinasional yang nilai keluarannya di suatu saat hanya ditentukan oleh

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia MATA KULIAH / KODE Elektronika Digital 3 SKS CAPAIAN PEMBELAJARAN: KODE MK PRASYARAT CSE 214 TEORI PRAKTIK

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

Simple As Posible - 1

Simple As Posible - 1 Simple As Posible - 1 (Pertemuan ke-16) Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Endro Ariyanto Maret 2015 Organisasi dan Arsitektur Komputer CSG2G3/2015 #1 KOMPONEN SAP-1 PROGRAM

Lebih terperinci

Aplikasi Metode Cepat untuk Desain Untai Logik

Aplikasi Metode Cepat untuk Desain Untai Logik Jurnal Kompetensi Teknik Vol. 4, No. 1, November 22 71 Aplikasi Metode Cepat untuk Desain Untai Logik Rafael Sri Wiyardi 1 1 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Negeri Semarang rafaelwiyardi@yahoo.com

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

Perangkat Keras untuk Aritmetika, CPU

Perangkat Keras untuk Aritmetika, CPU Perangkat Keras untuk Aritmetika, CPU Topik Hari ini: Mendesain sebuah ALU Penambah Carry-lookahead Jam dan sirkuit sequential Mesin finite state CPU siklus tunggal CPU siklus ganda 1 Hukum DeMorgan s

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PECOBAAN 2. DAA-DAA ANGKAIAN EKUENIAL 2 2.1. TUJUAN : etelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Flip-flop dari gerbang NO Membuat Flip-flop dari gerbang NAND Membuat Flip-flop

Lebih terperinci

RANGKAIAN SEKUENSIAL

RANGKAIAN SEKUENSIAL RANGKAIAN SEKUENSIAL Rangkaian Sekuensial Elemen Penyimpan dan Statenya Rangkaian yang nilai keluarannya tidak hanya tergantung dari masukan saat ini, juga dari nilai keluaran sebelumnya Rangkaian mempunyai

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

Aplikasi Teori Graf pada State Diagram

Aplikasi Teori Graf pada State Diagram plikasi Teori Graf pada State Diagram dhitya Ramadhanus 3532 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi andung, Jl. Ganesha andung 432, Indonesia 3532@std.stei.itb.ac.id

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 emester I DIG1B3 onfigurasi Perangkat eras omputer angkaian ekuensial Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di lingkungan

Lebih terperinci

Rangkaian Sequensial. Flip-Flop RS

Rangkaian Sequensial. Flip-Flop RS Rangkaian Sequensial Rangkaian logika di kelompokkan dalam 2 kelompok besar, yaitu rangkaian logika kombinasional dan rangkaian logika sekuensial. Bentuk dasar dari rangkaian logika kombinasional adalah

Lebih terperinci

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG BANDAR LAMPUNG 2013 Flip-flop T (T FF) Gambar 1.

Lebih terperinci