SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

Ukuran: px
Mulai penontonan dengan halaman:

Download "SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX"

Transkripsi

1 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM : Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT

2 Latar Belakang Masalah Vending machine adalah mesin penjual makanan/ minuman ringan secara praktis. Mesin ini dapat menghilangkan fungsi kasir, karena cukup dengan memasukkan uang kedalam mesin & memilih makanan/ minuman, mesin otomatis akan mengeluarkan makanan/ minuman yang diinginkan. Simulasi Rangkaian Digital Mesin Penjual Kopi ini dapat memudahkan semua orang dalam menikmati kopi hangat dengan praktis, terutama dalam lingkungan perkantoran dan perkuliahan yang membutuhkan hal-hal serba praktis.

3 Tujuan Penulisan Tujuan simulasi rangkaian digital mesin penjual kopi dengan menggunakan perangkat lunak XILLINX ini agar dapat mengeluarkan 3 keluaran, yaitu : kopi rasa vanilla, kopi rasa original dan kopi rasa capuccinno.

4 Batasan Masalah Dalam penulisan ini bahasan hanya pada seputar rangkaian logika, D flip-flop, perancangan rangkaian mesin penjual kopi dan simulasi dengan menggunakan perangkat lunak XILLINX.

5 Perancangan Rangkaian Perancangan rangkain mesin penjual kopi, dimana pembeli dapat memilih 3 jenis kopi, yaitu kopi dengan rasa original, cappucinno dan vanilla. Kopi-kopi ini masing-masing berharga Rp.5000,- dan mesin ini hanya dapat menerima uang Rp.5000,-. Meskipun telah memasukkan uang pembeli masih dapat membatalkan transaksi dengan memilih tombol uang kembali kemudian mesinpun mengeluarkan uangnya.

6 Tahap tahap perancangan : membuat pengidentifikasian masalah, untuk menentukan input dan output dari rangkaian. pengidentifikasian keadaan (state) penggambaran diagram keadaan (state diagram). Membuat table kebenaran. Membuat K-map, untuk mendapatkan persamaan aljabar Boolean tersederhana yaitu sebagai masukan pada D Flip-flop atau disebut sebagai input forming logic (IFL) Menentukan gelombang inputnya dapat ditentukan output forming logic (OFL).

7 Identifikasi masalah Permasalahan pada mesin penjual kopi dapat dinyatakan sebagai berikut: Input : Tidak ada kegiatan : TA Memasukkan uang Rp 5.000,- : D Uang Kembali : E Memilih kopi original : F Memilih kopi cappucinno : G Memilih kopi vanila : H Output : uang kembali : W kopi original : X kopi cappucinno : Y kopi vanilla : Z

8 Identifikasi State / Keadaan : Keadaan a : Tidak ada kegiatan, kembali ke keadaan a. Memasukkan uang Rp.5000,-. Keadaan b : Tidak ada kegiatan, kembali ke keadaan b - Minta uang kembali ke keadaan c - Memilih kopi original ke keadaan d. - Memilih kopi cappucinno ke keadaan e. - Memilih kopi vanilla ke keadaan f. Keadaan c : Mengeluarkan uang kembali, kembali ke keadaan a Keadaan d :Mengeluarkan kopi original, kembali ke keadaan a. Keadaan e :Mengeluarkan kopi cappucinno, kembali ke keadaan a Keadaan f : Mengeluarkan kopi vanilla, kembali ke keadaan a.

9 Diagram Keadaan (State Diagram)

10 Table Kebenaran Rangkaian present input A B C D E F G H next DA DB DC OFL x x x x x x x x D x x x 1 x x x E' F' G' x x 1 x x F+H E+G H' + E x x x x 1 x F x x x x x x x x x Y x x x x x W x x x x x Z x x x x x X x x x x x x x x x x x x x x x x x x x x x x x x

11 Pembentukan Input Forming Logic (IFL) D A : C \ AB x 0 1 F+H 0 x 0 D B : C \ AB x 0 1 E+G 0 x 0

12 Dc: C \ AB D 0 x 0 1 E' F' G' H' + E + F 0 x 0 Dengan menggunakan K-map maka diperoleh Input Forming Logic sebagai berikut: D A = A B C (F+H) D B = A B C (E+G) D C = ( A B C (E' F' G' H' + E + F) + (A B C D)

13 Penentuan Output Forming Logic (OFL) Output forming logic kali ini yang dipilih adalah gelombang SB SE karena rangkaian untuk menghasilkan output forming logic ini sederhana, yaitu hanya menggunakan gerbang AND dan OR.

14 Simulasi Dan Analisa Rangkaian Schematic Editor

15 Dalam rangakaian ini menggunakan D flip-flop dengan inputan D E F G H dan ouput A B C W X Y Z, Pada D flip-flop A, masukannya berupa A B C (F+H) Pada D flip-flop B, masukannya berupa A B C (E+G) Pada D flip-flop C, masukannya berupa ( A B C (E' F' G' H' + E + F) + (A B C D) Pada output W bernilai 011 yaitu A BC Pada output X bernilai 101 yaitu AB C Pada output Y bernilai 010 yaitu A BC Pada output Z bernilai 100 yaitu AB C

16 Simulasi Rangkaian Sebelum menjalankan simulasi harus membuat jalur terlebih dahulu, berikut jalur yang didapat,

17 Setelah mendapatkan jalur, memberikan nilai logika 0 atau 1 pada input-input, seperti pada gambar sebagai berikut:

18 Setelah memberi nilai 1 atau 0 pada input, simulasi dijalankan dan hasilnya seperti gambar sebagai berikut:

19 Analisa Hasil Rangkaian Dari hasil simulasi dapat dilihat bahwa rangkaian telah dirancang dengan benar karena outputnya berupa sekuens : TA D TA E W TA D TA F X TA D TA G Y TA D TA H Z

20 Kesimpulan Proses perancangan dan hasil analisa dari rangkaian mesin penjual kopi ini sesuai dengan hasil yang diharapkan saat perancangan. Maka simulasi rangkaian mesin penjual kopi ini berfungsi dengan baik.

21 Saran Berdasarkan hasil simulasi dan analisa sampai selesainya penulisan ini, maka untuk melengkapi kekurangan perancangan rangkaian dan simulasi disarankan : Rangkaian ini dapat dibuat secara sederhana dan praktis dengan menggunakan program xillinx. Karena xillinx dapat menerjemahkan schematic editor menjadi VHDL ( Very High Density Language ) yang berguna untuk memprogram FPGA ( Field Programmable Gate Array ).

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB V OUTPUT FORMING LOGIC

BAB V OUTPUT FORMING LOGIC BAB V OUTPUT FORMING LOGIC OBYEKTIF : - memahami fungsi output forming logic - mampu menggunakan fungsi output forming logic untuk merancang rangkaian digital yang lebih kompleks 5.1 Output Forming Logic

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis Pertemuan ke 4 1 BAB III Sintesis Rangkaian Sekuensial Deskripsi Pada bab ini akan dibahas tentang prosedur sintesis, sintesis diagram keadaan, rangkaian memori terbatas. Manfaat Memberikan kompetensi

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

PERCOBAAN 3 FLIP FLOP 1

PERCOBAAN 3 FLIP FLOP 1 PERCOBAAN 3 FLIP FLOP 3.. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Melakukan analisa rangkaian sekuensial dengan SR Flip-flop Mendisain rangkaian sekuensial dengan SR flip-flop

Lebih terperinci

Aplikasi Karnough Map untuk Penyelesaian Desain Untai Logika Model Moore

Aplikasi Karnough Map untuk Penyelesaian Desain Untai Logika Model Moore Jurnal Kompetensi Teknik Vol. 2, No. 2, Mei 20 37 Aplikasi Karnough Map untuk Penyelesaian Desain Untai Logika Model Moore Rafael Sri Wiyardi Jurusan Teknik Elektro, FT, Universitas Negeri Semarang rafaelte_unnes@yahoo.com

Lebih terperinci

Mata Kuliah TKE 113. Ir. Pernantin Tarigan, M.Sc Fahmi, S.T, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU

Mata Kuliah TKE 113. Ir. Pernantin Tarigan, M.Sc Fahmi, S.T, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU Mata Kuliah Dasar Teknik Digital TKE 113 10. DESAIN RANGKAIAN BERURUT Ir. Pernantin Tarigan, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU 2006 Desain Pencacah Nilai, spesifikasi: i X=1

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan Abstrak Pemahaman mahasiswa terhadap mata kuliah Sistem Digital yang merupakan mata kuliah keilmuan dan ketrampilan sampai saat sekarang ini dirasa masih kurang, apalagi materi ini merupakan subjek yang

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a a Jurusan Fisika FMIPA Universitas Tanjungpura Jl. Prof. Dr. H. Hadari Nawawi

Lebih terperinci

DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE

DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE Alfie Syahri Fakultas Ilmu Komputer dan Teknologi Informasi, Universitas Gunadarma Jl. Margonda Raya no. 1, Depok 16424, Jawa Barat

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

FORMULIR RANCANGAN PERKULIAHAN PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK

FORMULIR RANCANGAN PERKULIAHAN PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK FORMULIR RANCANGAN PERKULIAHAN PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK Q No.Dokumen 061.423.4.70.00 Distribusi Tgl. Efektif 1 November 2011 Judul Mata Kuliah : Teknik Digital Semester : 4 Sks : 3

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

Aplikasi Metode Cepat untuk Desain Untai Logik

Aplikasi Metode Cepat untuk Desain Untai Logik Jurnal Kompetensi Teknik Vol. 4, No. 1, November 22 71 Aplikasi Metode Cepat untuk Desain Untai Logik Rafael Sri Wiyardi 1 1 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Negeri Semarang rafaelwiyardi@yahoo.com

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL No. SIL/EKA/EKA239/22 Revisi : 00 Tgl: 21 Juni 2010 Hal 1 dari 5 MATA KULIAH : TEKNIK DIGITAL KODE MATA KULIAH : EKA 239 SEMESTER : 2 PROGRAM STUDI : PENDIDIKAN TEKNIK INFORMATIKA DOSEN PENGAMPU : UMI

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

Penggunaan Teori Otomata Pada Mesin Jaja

Penggunaan Teori Otomata Pada Mesin Jaja Penggunaan Teori Otomata Pada Mesin Jaja Christian Angga - NIM : 3508008 Teknik Informatika ITB Bandung 4035 e-mail: if8008@students.if.itb.ac.id ABSTRAK Makalah ini membahas tentang teori otomata atau

Lebih terperinci

BAB 10. DESAIN RANGKAIAN BERURUT

BAB 10. DESAIN RANGKAIAN BERURUT BAB 10. DESAIN RANGKAIAN BERURUT 2 DESAIN PENCACAH NILAI SPESIFIKASI : X=1 cacahan naik 2, z= 1 jika cacahan > 5 X=0 cacahan turun 1, z= 1 jika cacahan < 0 mesin Mealy 3 0 DESAIN PENCACAH NILAI 1/1 1/0

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika Minggu ke 1 Pokok Bahasan dan TIU Pengantar tentang cakupan materi yang akan dibahas dalam organisasi

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

apakah dalam penguji cobaan ini berhasil atau tidak. tahapan selanjutnya.

apakah dalam penguji cobaan ini berhasil atau tidak. tahapan selanjutnya. 1.5.2.4 Uji Coba Penyederhanaan Tahapan ini adalah tahapan untuk penyempurna tahapan diatas dengan melakukan uji coba penyederhanaan yang telah jadi, apakah dalam penguji cobaan ini berhasil atau tidak.

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

Analysis And Design of Digital System

Analysis And Design of Digital System Analysis And Design of Digital System Introduction Synchronous and Asynchronous Operation (1) Synchronous sequential circuits change their states and output values at discrete instants of time, which are

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

Organisasi & Arsitektur Komputer

Organisasi & Arsitektur Komputer Organisasi & Arsitektur Komputer 1 Logika Digital Eko Budi Setiawan, S.Kom., M.T. Eko Budi Setiawan mail@ekobudisetiawan.com www.ekobudisetiawan.com Teknik Informatika - UNIKOM 2013 Pendahuluan Gerbang

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) KKKM12007 Teknik Digital PROGRAM STUDI D3 MANAJEMEN INFORMATIKA (MI) FAKULTAS ILMU KOMPUTER (FILKOM) UNIVERSITAS PUTRA INDONESIA YPTK LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit)

Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit) Ujian Akhir Semester EL 2002 Sistem Digital Rabu, 14 Desember 2016 Waktu 09:15-12:15 (180 menit) Nama: Dosen: Tanda Tangan: Kelas: 1 (15) 2 (20) 3 (25) 4 (20) 5 (25) Total (Max Nilai = 105) Kerjakan di

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL Tommy NIM : 13507109 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung Jl. Ganesha no. 10 Bandung

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN. 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Dalam bidang industri terdapat tiga bagian proses yang berperan sangat penting yaitu : 1) Proses manufaktur, 2) Proses produksi, dan 3) Proses pemantauan produksi.

Lebih terperinci

Tabel kebenaran untuk dua masukan (input) Y = AB + AB A B Y

Tabel kebenaran untuk dua masukan (input) Y = AB + AB A B Y G.Gerbang X-OR dan Gerbang X-NOR 1. Gerbang X-OR dalah komponen logika yang keluarannya bernilai 1 bila terminal masukannya tidak sama, atau dengan persamaan ditulis : Y = + Simbol gerbang X-OR untuk dua

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

SATUAN ACARA PERKULIAHAN (SAP)

SATUAN ACARA PERKULIAHAN (SAP) SATUAN ACARA PERKULIAHAN (SAP) Nama Mata Kuliah : Arsitektur Sistem Komputer Kode Mata Kuliah : TI 017 Bobot Kredit : 3 SKS Semester Penempatan : IV Kedudukan Mata Kuliah : Mata Kuliah Keilmuan dan Keterampilan

Lebih terperinci

Mengenal Gerbang Logika (Logic Gate)

Mengenal Gerbang Logika (Logic Gate) Mengenal Gerbang Logika (Logic Gate) Anjar Syafari anjar.syafari@gmail.com http://ansitea.blogspot.com Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Semester 3 Counter Sinkron 2 menit No. LST/PTI/PTI6205/ Revisi: Tgl: 8 September 24 Page 1 of 5 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) 4. RENCANA PEMBELAJARAN SEMESTER RENCANA PEMBELAJARAN SEMESTER (RPS) Identitas Mata Kuliah: Program Studi : S1 Elektro Mata Kuliah : Pengantar Perancangan IC Kode Mata Kuliah : E11625 Semester : III (Tiga)

Lebih terperinci

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock XII. RANGKAIAN LOGIKA SEKUENSIAL SINKRON A. PENDAHULUAN Input R.Kombinasi Onal Pulsa Clock Flip-Flop Output Pulsa Clock B. LATCHES 1. RS FF =Reset Set Flip -Flop =Bistable Simbol RS FF =One Bit Memory

Lebih terperinci

PEMBUATAN VIRTUAL LABORATORY SEBAGAI ALAT BANTU AJAR KULIAH ELEKTRONIKA DIGITAL DENGAN SIMULATOR LOGIKA LOGICLY DAN DSCH2

PEMBUATAN VIRTUAL LABORATORY SEBAGAI ALAT BANTU AJAR KULIAH ELEKTRONIKA DIGITAL DENGAN SIMULATOR LOGIKA LOGICLY DAN DSCH2 PEMBUATAN VIRTUAL LABORATORY SEBAGAI ALAT BANTU AJAR KULIAH ELEKTRONIKA DIGITAL DENGAN SIMULATOR LOGIKA LOGICLY DAN DSCH2 Ery Safrianti Laboratorium Mikroprosesor Jurusan Elektro Fakultas Teknik Universitas

Lebih terperinci

SISTEM KENDALI JARAK JAUH PINTU GERBANG OTOMATIS

SISTEM KENDALI JARAK JAUH PINTU GERBANG OTOMATIS PROSIDING 20 13 HASIL PENELITIAN FAKULTAS TEKNIK SISTEM KENDALI JARAK JAUH PINTU GERBANG OTOMATIS A. Ejah Umraeni Salam, Rhiza S. Sadjad, Christophorus Y, Fiqha R. Faisal & Vivian Isabella Jurusan Teknik

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO 01.50.0101 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS KATOLIK SOEGIJAPRANATA SEMARANG 2007

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 BLOK DIAGRAM Pada perancangan tugas akhir ini saya merancang sistem dengan blok diagram yang dapat dilihat pada gambar 3.1. Gambar 3.1. Blok Diagram Dari blok diagram pusat

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

MERANCANG DESAIN MESIN PENJUAL PULSA ELEKTRIK OTOMATIS BERBASIS FPGA

MERANCANG DESAIN MESIN PENJUAL PULSA ELEKTRIK OTOMATIS BERBASIS FPGA MERANCANG DESAIN MESIN PENJUAL PULSA ELEKTRIK OTOMATIS BERBASIS FPGA Priska Restu Utami Jurusan Teknik Elektro, Universitas Gunadarma priska@staff.gunadarma.ac.id Abstrak Sebagai inovasi, mesin penjual

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Sri Handika Utami / 13508006 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10 Bandung

Lebih terperinci

FAKULTAS TEKNIK DAN SAINS UNIVERSITAS NASIONAL RENCANA PEMBELAJARAN

FAKULTAS TEKNIK DAN SAINS UNIVERSITAS NASIONAL RENCANA PEMBELAJARAN FAKULTAS TEKNIK DAN SAINS UNIVERSITAS NASIONAL RENCANA PEMBELAJARAN MATA KULIAH : Mekatronika SEM : KODE : 02050243 SKS : 2 JURUSAN : S1 Teknik Mesin DOSEN : Agus Wibowo, S.T.,M.T KOMPETENSI : Mahasiswa

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Abstrak. Susdarminasari Taini-L2F Halaman 1

Abstrak. Susdarminasari Taini-L2F Halaman 1 Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA TRAFFIC LIGHT DI LABORATORIUM TEKNIK KONTROL OTOMATIK TEKNIK ELEKTRO UNIVERSITAS DIPONEGORO Susdarminasari Taini (L2F009034)

Lebih terperinci

Jakarta, Oktober Penulis

Jakarta, Oktober Penulis i ii iii KATA PENGANTAR Puji syukur kepada Tuhan Yang Maha Kuasa yang senantiasa melimpahkan nikmat-nya pada kita semua dan dengan rahmat-nya, penulis dapat menyelesaikan penulisan laporan Tugas Akhir.

Lebih terperinci

Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA MODUL SISTEM SILO

Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA MODUL SISTEM SILO Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA MODUL SISTEM SILO Muhammad Fajri Nur Reimansyah (L2F009032) Jurusan Teknik Elektro Fakultas Teknik Universitas Diponegoro

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP)

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Mata Kuliah : Arsitektur Komputer Bobot Mata Kuliah : 3 Sks GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Deskripsi Mata Kuliah : kepada mahasiswa secara mendalam mengenai konsep-konsep dari fungsi dan struktur

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP J-K

Jobsheet Praktikum FLIP-FLOP J-K 1 FLIP-FLOP J-K A. Tujuan Kegiatan Praktikum 10 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP J-K 2) Merangkai rangkaian FLIP FLOP J-K B. Dasar

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EKO/DEL 214/04 Revisi : 03 Tgl : 1 Maret 2012 Hal 1 dari 6 A. Kompetensi Memahami cara kerja rangkaian Flip-Flop D, baik yang berjenis Level Sensitive Clocked D Flip-Flop maupun Edge-Triggered

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS. Nama : Idham Rustandi NPM : Pembimbing : Dr. Ir. Hartono Siswono, MT

PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS. Nama : Idham Rustandi NPM : Pembimbing : Dr. Ir. Hartono Siswono, MT PROTOYPE PENGHITUNG JUMLAH PENGUNJUNG PERPUSTAKAAN SECARA OTOMATIS Nama : Idham Rustandi NPM : 11409103 Pembimbing : Dr. Ir. Hartono Siswono, MT ABSTRAKSI Latar belakang penulisan ini adalah adanya penulisan

Lebih terperinci