MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

Ukuran: px
Mulai penontonan dengan halaman:

Download "MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL"

Transkripsi

1 MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari ( ) Ferry Hermawan ( ) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB Abstrak FPGA adalah suatu board versatile yang bisa digunakan untuk beragam keperluan. Input dan output yang bisa diconnect dengan berbagai macam device memungkinkan beragam kegunaan. Pada percobaan kali ini, kami akan menggunakan board FPGA untuk mengimplementasikan suatu stopwatch digital menggunakan kode VHDL. Input yang digunakan adalah toggle switch, dan output memanfaatkan device layar LCD. Kata kunci: stopwatch digital 1. PENDAHULUAN Pada percobaan kali ini kami mencoba membuat suatu stopwatch digital untuk diimplementasikan di FPGA. Secar garis besar tujuan percobaan ini yaitu: 1) Dapat menspesifikasikan suatu sistem digital 2) Bisa mendesain suatu rangkaian digital 3) Mampu membuat jalur data dan kendali untuk suatu sistem digital 4) Mampu membuat hierarki dan integrasi suatu sistem digital 5) Bisa melakukan testing dan debugging pada desain rangkaian digital 6) Mampu mengimplementasikan desain pada beragam devais 7) Menganalisa sistem yang telah dibangun digunakan pada perlombaan, untuk mengukur waktu, dan berbagai keperluan lainnya. Suatu stopwatch digital bisa juga dilihat dari sudut pandang suatu rangkaian digital. Apabila dimodelkan dengan Finite State Machine(FSM) tipe Moore, maka stopwatch bisa dianggap terdiri dari tiga state. Yang pertama adalah initial, outputnya berupa angka 00:00. Yang kedua adalah state counting, yaitu ketika tombol start ditekan. Outputnya berupa angka perhitungan yang bertambah setiap detiknya. Yang ketiga adalah state pause, yaitu ketika tombol stop ditekan, outputnya adalah angka terakhir yang terhitung. 3. METODOLOGI Komponen dan alat yang digunakan pada praktikum kali ini adalah: - Komputer yang telah terinstall program Quartus II dan Modelsim - Altera development board DE1-70 beserta kelengkapannya termasuk modul VGA - Layar LCD Sedangkan metodologi untuk percobaan kali ini akan dijelaskan pada bagan berikut: 3.1 MERANCANG SISTEM TERINTEGRASI Membuat keseluruhan skema rangkaian 2. STUDI PUSTAKA Secara singkat akan dijelaskan tentang stopwatch digital. Membagi pekerjaan dalam kelompok Membagi modul program 2.1 STOPWATCH DIGITAL Stopwatch adalah suatu alat untuk mengukur elapsed time dari saat tombol start ditekan sampai tombol pause ditekan. Stopwatch biasanya Membuat jalur data dan kendali Gambar 3-1 Diagram percobaan 1 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2 3.2 MEMBUAT BLOK PROGRAM 4. HASIL DAN ANALISIS Testing dan debugging Compile dan SImulasi Gambar 3-2 Diagram percobaan MEMBUAT HIERARKI SISTEM Testing dan debugging Menspesifikasi blok program Membuat lapisanlapisan hierarki Compile dan simulasi Membuat kode VHDL Gambar 3-3 Diagram percobaan IMPLEMENTASI PADA DEVAIS Membuat state diagram, I/O, signal Mengassign portmap yang sesuai 4.1 MERANCANG SISTEM TERINTEGRASI Di awal percobaan, hal yang harus dilakukan adalah melihat gambaran besar (grand design) dari sistem yang akan kita bangun. Kita spesifikasi dulu apa saja yang kita mau dari sistem kita berikut batasan-batasannya. Kemudian kita menstrategikan bagaimana kita akan merealisasikan desain tersebut. Spesifikasi dari stopwatch yang akan kami bangun yaitu memiliki dua tombol yaitu start/reset dan stop. Proses yang dilakukan harus mampu menghitung waktu satu detik. Outputnya berupa seven segment, namun seven segment ini terdapat di layar LCD. Berarti sistem yang kami bangun harus memiliki blok: 1) stopwatch, 2) clock, 3) decoder integer to seven segment, 4) penampil seven segment di LCD. Jalur datanya yaitu: clock membuat suatu clock senilai satu detik di stopwatch. Stopwatch menerima input dari user berupa toggle switch. Output dari stopwatch berupa integer. Integer masuk ke decoder untuk dihasilkan sinyal segment mana saja yang menyala, penampil mengaktifkan sinyal tersebut di layar LCD. Kemudian dilakukan pembagian kerja dalam kelompok kami. Modul stopwatch, clock, dan decoder dikerjakan oleh Muhammad Wildan Gifari. Sedangkan modul penampil dikerjakan oleh Ferry Hermawan. Untuk integrasi sistem dikerjakan oleh M Wildan Gifari. Tahap implementasi dilakukan oleh Ferry Hermawan. 4.2 MEMBUAT BLOK PROGRAM Blok program yang akan dibuat ada 4, yaitu: 1) clockdiv ( clock), 2) stopwatch, 3) angka to seven (decoder), dan 4) display(penampil). Analisis Mengassign pin yang sesuai Download program ke FPGA CLOCKDIV Clockdiv menerima input dari clock FPGA( frekuensi 50 MHz). Sedangkan outputnya adalah clock pada stopwatch ( periode 1 sekon). Proses di dalam adalah pembagian clocknya. Simulasi sukses hasilnya sesuai dengan yang diharapkan. Kode VHDL dilampirkan pada laporan. Berikut bloknya: Amati hasil pada devais Gambar 3-3 Diagram percobaan 3 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 2

3 Gambar 4-1 Blok Clockdiv STOPWATCH Stopwatch adalah blok program yang mengandung Finite State Machine. Inputnya adalah dua buah toggle switch. Yang satu berupa start/reset dan yang satunya adalah tombol stop. Stopwatch juga mempunyai input clock. Outputnya berupa suatu integer. Kode VHDL terlampir di attachment. Berikut FSMnya: Gambar 4-4 Alur pikir blok angka_to_seven Berikut Blok diagramnya: Gambar 4-5 Blok Angka_to_seven SEVEN_DISPLAY Gambar 4-2 FSM untuk stopwatch Berikut blok diagramnya: Output dari angka_to_seven berupa sinyal 7 bit. Apabila dihubungkan dengan 7 segment maka akan langsung bisa diamati segment mana saja yang menyala. Namun spesifikasi desain membutuhkan tampilan ke layar LCD. Maka kita harus membuat suatu blok program untuk mengolah tampilan tersebut menjadi warna di blok-blok pada layar LCD. Blok seven_display inilah yang mengimplementasikan tampilan layar pada LCD tersebut. Kode VHDL terlampir di attachment. Berikut blok diagramnya: Gambar 4-3 Blok Stopwatch ANGKA_TO_SEVEN Seven segment membutuhkan tujuh buah sinyal untuk memerintah segment mana saja yang menyala. Maka angka berupa integer harus didecode menjadi sinyal tujuh bit. Dalam kasus stopwatch ini, batasannya yaitu hanya bisa menghitung sampai detik 99. Berarti dibutuhkan dua seven segment. Angka yang berupa integer dipecah dulu secara aritmatik menjadi satuan dan puluhan. Kemudian angka satuan dan puluhan inilah yang di-decode menjadi input tujuh bit. Kode VHDL terlampir di attachment. Berikut alur pikirnya: Gambar 4-6 Blok Display 4.3 MEMBUAT HIERARKI SISTEM Blok-blok program tadi harus dibuat suatu hierarki agar dapat berinteraksi satu sama lain. Hierarki dibuat dengan menggabungkan beberapa modul, menghubungkan pin-pinnya, dan bisa juga dengan menggabungkan modul yang sudah digabung dengan modul lain. Pada desain kami, kami memanfaatkan framework yang sudah tersedia di labdas yaitu set display DE1. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 3

4 Dalam hierarki desain kami, ada 3 lapisan. Lapisan pertama kami menggabungkan modul clockdiv, stopwatch, dan angka_to_seven menjadi satu modul yang bernama stopwatch_to_hex. Kemudian di sebagai modul seven_display kami memanfaatkan modul color_rom_vhd dengan menambahkan input sinyal segment 7-bit. Di lapisan paling atas yaitu display_vhd barulah digabungkan stopwatch_to_hex, seven_display, dan modul VGA. Apabila dibuat skemanya: melalui command portmap. Lengkapnya portmap dapat dilihat di lampiran kode VHDL pada attachment. Compile dan simulasi untuk modul-modul gabungan belum dilakukan pada saat percobaan. 4.4 IMPLEMENTASI PADA DEVAIS Modul clockdiv menggunakan modul yang tersedia dari labdasar yang sudah digunakan di percobaan modul sebelumnya. Clockdiv berfungsi dengan baik membagi clock FPGA menjadi satu sekon. Simulasi modul stopwatch pada Modelsim memberikan hasil sesuai dengan yang diharapkan. Transis state maupun output sesuai dengan spesifikasi desain. Berikut hasil simulasinya: Gambar 4-7 Blok desain stopwatch_to_hex Gambar 4-9 Hasil simulasi modul stopwatch di Modelsim Hasil simulasi modul decoder angka_to_seven sudah memberikan hasil yang memuaskan. Modul aritmatik untuk men-generate satuan dan puluhan, juga modul decoder dalam angka_to_seven berhasil mengeluarkan output 7-bit yang sesuai. Berikut hasil simulasinya dengan modelsim: Gambar 4-8 Hierarki puncak desain rangkaian stopwatch digital Pada saat penggabungan, perlu ditambah port yang sesuai pada modul tertentu untuk menyesuaikan port dari modul lain yang berhubungan. Contohnya pada jalur data: modul stopwatch_to_hex mengeluarkan output hex 7 bit, maka modul seven_display harus ditambah port input hex 7 bit untuk menampung output tersebut. Pada kasus output berupa buffer, untuk dimasukkan ke input modul lain, harus ada sinyal perantara, karena port input tidak mau menerima harga yang berubah-ubah. Contohnya pada stopwatch_to_hex: output dari clockdiv ditampung dulu di suatu sinyal sebelum dimasukkan ke clockin dari modul stopwatch. Kemudian port-port lain juga dihubungkan Gambar 4-9 Hasil simulasi modul angka_to_seven Implementasi modul display pada layar sudah memberikan hasil yang diharapkan, berikut adalah contoh hasilnya : Angka 0 Gambar pada seven segmen Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 4

5 Double digit Setelah keseluruhan modul digabungkan menjadi satu, dan dicoba untuk decompile, memberikan hasil yang benar (tidak terdapat error). Tetapi, saat didownload ke dalam FPGA dan program dijalankan, terdapat kesalahan yaitu hasil yang keluar tidak sesuai dengan yang diharapkan. Sebenarnya proses counting sudah bisa berjalan di background, tetapi tidak bisa ditampilkan di layar. Saat program dijalankan, tamilan di layar hanya terdapat dua seven segment berwarna abu saja, berarti tidak ada yang aktif dari tiap segment seven segment tersebut. Tetapi saat tombol stop diaktifkan, tampilan layar menunjukan digit sebelah kanan menghasilkan sebuah angka, tetapi digit sebelah kiri tetap berwarna abu. Saat dicoba kembali dengan menghitung waktu dari tombol start dijalankan sampai tombol stop ditekan menunjukkan angka yang ditampilkan di layar adalah waktu antara start dimulai sampai stop ditekan, namun hanya digit kanan saja yang ditampilkan. Ini menunjukkan bahwa proses counter kami sudah berjalan dengan benar, hanya saja ada masalah pada bagian display. Kami sudah mencoba untuk menanyakan hal ini kepada asisten, namun setelah dilakukan berbagai modifikasi tetap saja menunjukkan hasil yang sama. Kami juga mencoba menggunakan clock yang lebih kecil. Hasilnya menunjukkan bahwa tampilan pada digit sebelah kanan ada proses pewarnaan (warnanya tidak abu -abu lagi, tetapi merah muda). Tetapi saat tombol start ditekan, Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 5

6 layar kembali menunjukkan angka 00 (sama seperti saat tombol start tidak aktif). Saat clock dikembalikan ke nilai semula (50 MHz) tampilan masih saja tetap sama, tetapi untuk proses counting tetap tidak ditampilkan di layar. -Implementasi pada device sudah bisa dilakukan, hanya saja masih terdapat kesalahan pada bagian display -Fungsi counter sudah berjalan dengan baik 5. KESIMPULAN Kelompok kami mencoba mendesain suatu stopwatch digital yang hasil perhitungannya ditampilkan pada seven segment di layar. Cara pembuatannya adalah seperti pada bagian 4 di atas, dimulai dari 1) merancang sistem terintegrasi, 2) membuat blok program yang terdiri dari clockdiv, stopwatch, angka_to_seven, dan seven_display, 3) membuat hierarki system, dan 4) implementasi pada device. Untuk keterangan lebih jelasnya dapat dilihat langsung pada subbab yang terdapat pada bab 4 tersebut.. Berdasarkan percobaan ini, didapatkan hasil yaitu : -Grand design sistem sudah berhasil dibuat -Dari hasil simulasi, modul stopwatch dan decoder sudah berfungsi dengan baik -Modul seven_display berhasil diimplementasikan di layar LCD Berdasarkan hasil yang didapat tersebut, program yang kami buat belum memenuhi target yang diinginkan. Sebenarnya fungsi dari program yang kami buat sudah berjalan dengan baik, namun pada bagian display saja yang masih terdapat kesalahan. DAFTAR PUSTAKA [1] Hutabarat,dkk. Praktikum Sistem Digital. Lab Dasar Teknik Elektro ITB.2012 [2] Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design 3rd Edition, McGraw-Hill, San Francisco, 2009 [3] Dongpu Jin and Sean Hicks, Stopwatch(ELEC 307 project 2), University of Nebraska-Lincoln, Nebraska, 2011 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 6

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY. DOSEN : DR. Satria Gunawan Zain, M.T TANGGAL KUMPUL PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER

TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY. DOSEN : DR. Satria Gunawan Zain, M.T TANGGAL KUMPUL PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER KELAS PTIK 05 2014 LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 2 PERANGKAT DISPLAY DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN ABD.MALIK RAUF 1429040053

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab

Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab Selasa 18 Oktober 2011; 09:00 WIB ; Dosen: Waskita Adijarto, Pranoto Hidaya Rusmin 1 Sistem Mikroprosesor Diketahui sebuah sistem mikroprosesor

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang BAB I PENDAHULUAN 1.1. Latar Belakang Masalah PLC (Programmable Logic Control) merupakan suatu peralatan yang digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang biasanya digunakan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI

BAB III PERANCANGAN DAN REALISASI BAB III PERANCANGAN DAN REALISASI 3.1 Perancangan Pengatur Scoring Digital Wireless Futsal Berbasis Mikrokontroller AVR ATMEGA8. Perancangan rangkaian pengatur scoring digital untuk mengendalikan score,

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

Tabel 3.1 Kode heksadesimal untuk angka 0-9

Tabel 3.1 Kode heksadesimal untuk angka 0-9 JOBSHEET III ANTARMUKA MIKROKONTROLER DENGAN SEVEN SEGMEN 1 TUJUAN Mengetahui dan memahami cara mengantarmukakan mikrokontroler dengan rangkaian seven Mengetahui dan memahami bagaimana memrogram mikrokontroler

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Register, Counter dan Memori 1 11/9/2016 1 Inti pembelajaran Memahami pengertian Register, Counter dan Memori. Mampu menjelaskan cara kerja Register, Counter

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA SISTEM

BAB IV PENGUJIAN DAN ANALISA SISTEM BAB IV PENGUJIAN DAN ANALISA SISTEM Bab ini menjelaskan tentang pengujian sistem yang telah direalisasi. Tujuan pengujian ini adalah untuk mengetahui apakah sistem yang telah direalisasi sesuai dengan

Lebih terperinci

BAB III PERANCANGAN DAN PEMODELAN

BAB III PERANCANGAN DAN PEMODELAN BAB III PERANCANGAN DAN PEMODELAN Pada bab ini akan membahas mengenai perancangan dan pemodelan serta realisasi dari perangkat keras dan perangkat lunak untuk alat pengukur kecepatan dengan sensor infra

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

JAM DIGITAL 2.2 REGISTER TCNT, TIMSK, OCR, DAN TIFR 1. PENDAHULUAN 2. STUDI PUSTAKA 2.1 CLOCK DAN PRESCALER 3. METODOLOGI 3.

JAM DIGITAL 2.2 REGISTER TCNT, TIMSK, OCR, DAN TIFR 1. PENDAHULUAN 2. STUDI PUSTAKA 2.1 CLOCK DAN PRESCALER 3. METODOLOGI 3. JAM DIGITAL Freddy Isman (13213501) Fuad Ismail (13214121) EL3014- Sistem Mikroprosesor Sekolah Teknik Elektro dan Informatika ITB Abstrak Kali ini, kami membuat sebuah sistem jam digital menggunakan mikrokontroler

Lebih terperinci

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah BAB IV CARA KERJA DAN PERANCANGAN SISTEM 4.1 Diagram Blok Sistem Blok diagram dibawah ini menjelaskan bahwa ketika juri dari salah satu bahkan ketiga juri diarea pertandingan menekan keypad pada alat pencatat

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA BAB IV PENGUJIAN DAN ANALISA Pada bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Pengujian ini dilaksanakan untuk mengetahui kemampuan dari sistem dan untuk mengetahui

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

BAB III DESAIN DAN PENGEMBANGAN SISTEM

BAB III DESAIN DAN PENGEMBANGAN SISTEM BAB III DESAIN DAN PENGEMBANGAN SISTEM 3.1 Perangkat Keras Perancangan perangkat keras untuk sistem kontrol daya listrik diawali dengan merancangan sistem sensor yang akan digunakan, yaitu sistem sensor

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

Gambar 4.2 Rangkaian keypad dan LED

Gambar 4.2 Rangkaian keypad dan LED JOBSHEET IV ANTARMUKA MIKROKONTROLER DENGAN KEYPAD TUJUAN Mengetahui dan memahami cara mengantarmukakan mikrokontroler dengan keypad. Mengetahui dan memahami bagaimana memrogram mikrokontroler untuk membaca

Lebih terperinci

BAB IV ANALISIS DATA DAN PEMBAHASAN

BAB IV ANALISIS DATA DAN PEMBAHASAN 34 BAB IV ANALISIS DATA DAN PEMBAHASAN Dalam bab IV ini akan dibahas tentang analisis data dan pembahasan berdasarkan perencanaan dari sistem yang dibuat. Rancangan alat indikator alarm ini digunakan untuk

Lebih terperinci

PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER

PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER TUGAS AKHIR Disusun sebagai salah satu syarat untuk kelulusan Program Strata 1, di Program Studi Teknik Informatika, Universitas Pasundan

Lebih terperinci

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan BAB V PENGUJIAN DAN ANALISIS Pada bab ini akan diuraikan tentang proses pengujian sistem yang meliputi pengukuran terhadap parameter-parameter dari setiap komponen per blok maupun secara keseluruhan, dan

Lebih terperinci

BAB III PERANCANGAN. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan

BAB III PERANCANGAN. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan 41 BAB III PERANCANGAN Pada bab ini akan menjelaskan perancangan alat yang akan penulis buat. Perancangan tersebut mulai dari: spesifikasi alat, blok diagram sampai dengan perancangan rangkaian elektronik,

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Aplikasi Teori Graf pada State Diagram

Aplikasi Teori Graf pada State Diagram plikasi Teori Graf pada State Diagram dhitya Ramadhanus 3532 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi andung, Jl. Ganesha andung 432, Indonesia 3532@std.stei.itb.ac.id

Lebih terperinci

III. METODOLOGI PENELITIAN. : Laboratorium Teknik Kendali Teknik Elektro Jurusan. Teknik Elektro Universitas Lampung

III. METODOLOGI PENELITIAN. : Laboratorium Teknik Kendali Teknik Elektro Jurusan. Teknik Elektro Universitas Lampung III. METODOLOGI PENELITIAN A. Waktu dan Tempat Penelitian Waktu : November 2011 Maret 2013 Tempat : Laboratorium Teknik Kendali Teknik Elektro Jurusan Teknik Elektro Universitas Lampung B. Alat dan Bahan

Lebih terperinci

BAB I PENDAHULUAN. Acara cerdas cermat atau kuis yang mengadu kecepatan dalam berfikir dan

BAB I PENDAHULUAN. Acara cerdas cermat atau kuis yang mengadu kecepatan dalam berfikir dan BAB I PENDAHULUAN A. Latar Belakang Masalah Acara cerdas cermat atau kuis yang mengadu kecepatan dalam berfikir dan menjawab pertanyaan sering diadakan, namun tempat persewaan tombol kuis jarang ditemukan.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Perancangan Sistem Perancangan Simulasi pengendali pintu gerbang Melalui media Bluetooth pada Ponsel bertujuan untuk membuat sebuah prototype yang membuka, menutup

Lebih terperinci

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro ,, TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah, Sebelumnya dibahas elemen rangkaian sekuensial berupa flip-flop dan latch yang mampu menyimpan informasi

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

BAB I PENDAHULUAN. biasanya digunakan pada suatu perusahaan. STIKOM memiliki Laboratorium

BAB I PENDAHULUAN. biasanya digunakan pada suatu perusahaan. STIKOM memiliki Laboratorium BAB I PENDAHULUAN 1.1. Latar Belakang Masalah Programmable Logic Control (PLC) merupakan suatu peralatan yang digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang biasanya digunakan

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

BAB 3 METODE PENELITIAN

BAB 3 METODE PENELITIAN BAB 3 METODE PENELITIAN 3.1. Perancangan Sistem 3.1.1. Gambaran Umum Sistem Sistem terdiri dari 2 modul yakni transmitter dan receiver. Modul transmitter berupa remote yang di dalamnya terdapat Arduino

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

Perancangan Model Alat Pemotong Rumput Otomatis Berbasis Mikrokontroler AT89C51

Perancangan Model Alat Pemotong Rumput Otomatis Berbasis Mikrokontroler AT89C51 21 Perancangan Model Alat Pemotong Rumput Otomatis Berbasis Mikrokontroler AT89C51 Ahmad Yusup, Muchlas Arkanuddin, Tole Sutikno Program Studi Teknik Elektro, Universitas Ahmad Dahlan Abstrak Penggunaan

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisa Sistem Dokumentasi merupakan suatu hal yang dibutuhkan manusia pada era globalisasi pada saat ini. Karena pentingnya suatu nilai dokumentasi membuat pengguna

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

III. METODE PENELITIAN

III. METODE PENELITIAN III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilakukan di Laboratorium Teknik Kendali Jurusan Teknik Elektro Fakultas Teknik Universitas Lampung yang dilaksanakan mulai dari bulan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM 29 BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Sistem Blok diagram sistem absensi ini dapat dilihat pada gambar 3.1 di bawah ini. Gambar 3.1. Diagram Blok Sistem Fungsi fungsi dari blok diatas adalah sebagai

Lebih terperinci

BAB III PERANCANGAN ALAT DAN PROGRAM

BAB III PERANCANGAN ALAT DAN PROGRAM BAB III PERANCANGAN ALAT DAN PROGRAM Dalam bab ini penulis akan membahas prinsip kerja rangkaian yang disusun untuk merealisasikan sistem alat, dalam hal ini mikrokontroler 2560 sebagai IC utama untuk

Lebih terperinci

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM 3.1. Spesifikasi Sistem Sebelum merancang blok diagram dan rangkaian terlebih dahulu membuat spesifikasi awal rangkaian untuk mempermudah proses pembacaan, spesifikasi

Lebih terperinci

Implementasi Pengendali PID Digital pada FPGA

Implementasi Pengendali PID Digital pada FPGA Implementasi Pengendali PID Digital pada FPGA Nanang Roni Wibowo 1), Reski Praminasari 2) 1 Teknik Mekatronika, Politeknik Bosowa(penulis 1) email: nanangroni80@gmail.com 2 Teknik Elektro, Politeknik Negeri

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

BLOK DIAGRAM DAN GAMBAR RANGKAIAN

BLOK DIAGRAM DAN GAMBAR RANGKAIAN BAB III BLOK DIAGRAM DAN GAMBAR RANGKAIAN 3.1 Blok Diagram SWITCH BUZZER MIKROKONTROLLER AT89S52 DTMF DECODER KUNCI ELEKTRONIK POWER SUPPLY 1 2 3 4 5 6 7 8 9 * 0 # KEYPAD 43 3.2 Gambar Rangkaian 44 3.3

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

BAB III PERENCANAAN SISTEM

BAB III PERENCANAAN SISTEM BAB III PERECAAA SISTEM Perencanaan system control dan monitoring rumah ini untuk memudahkan mengetahui kondisi lingkungan rumah pada titik - titik tertentu serta dapat melakukan pengendalian. Dimulai

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM. besar berupa gambar dengan tujuan agar sebuah sistem dapat lebih mudah

BAB III ANALISIS DAN PERANCANGAN SISTEM. besar berupa gambar dengan tujuan agar sebuah sistem dapat lebih mudah BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Blok Diagram Interface Blok Diagram Interface adalah bagian-bagian dan alur kerja sistem yang bertujuan untuk menerangkan cara kerja dan alur sistem tersebut

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 36 BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Rangkaian Perancangan sistem traffic light pada empat persimpangan pada jalan raya ini menggunakan Arduino uno, yang berfungsi untuk mengontrol atau memonitor

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Dalam bidang teknologi, orientasi produk teknologi yang dapat dimanfaatkan untuk kehidupan manusia adalah produk yang berkualitas, hemat energi, menarik, harga murah, bobot ringan,

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI SISTEM

BAB III PERANCANGAN DAN REALISASI SISTEM BAB III PERANCANGAN DAN REALISASI SISTEM Pada bab ini akan dijabarkan mengenai perancangan dan realisasi dari perangkat keras dan perangkat lunak dari setiap modul yang menjadi bagian dari sistem ini.

Lebih terperinci

BAB III PERANCANGAN DAN IMPLEMENTASI

BAB III PERANCANGAN DAN IMPLEMENTASI BAB III PERANCANGAN DAN IMPLEMENTASI Suatu tujuan akan tercapai dengan baik bila dilakukan melalui tahaptahap yang disusun dan dikerjakan dengan baik pula. Sebelum suatu ide diwujudkan dalam bentuk nyata,

Lebih terperinci

PERCOBAAN 2 MULTIFREQUENCY RECEIVER UNIT. Tabel 2.1. Kombinasi 2 Frekuensi pada Metode DTMF

PERCOBAAN 2 MULTIFREQUENCY RECEIVER UNIT. Tabel 2.1. Kombinasi 2 Frekuensi pada Metode DTMF PERCOBAAN 2 MULTIFREQUENCY RECEIVER UNIT 2.1. TUJUAN Memahami struktur kode multifrequency dan rangkaian kejadian pada pe-registrasi-an serta peng-konversi-an informasi dial. 2.2. TEORI Selain metode pushbutton

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM 57 BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Sistem Gambar 3.1 Blok Diagram Sistem Fungsi dari masing-masing blok yang terdapat pada gambar 3.1 adalah sebagai berikut : Mikrokontroler AT89S52 Berfungsi

Lebih terperinci

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS Sudimanto Sekolah Tinggi Manajemen Informatika dan computer LIKMI Jl. Ir. H. Juanda 96 Bandung 40132 E-mail : sudianen@yahoo.com

Lebih terperinci

Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial

Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial Rustam Asnawi, Octa Heriana, Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Sistem Keamanan Pintu Gerbang Berbasis AT89C51 Teroptimasi Basisdata Melalui Antarmuka Port Serial Rustam Asnawi

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

PERCOBAAN 3 MULTIPLEXER/DEMULTIPLEXER UNIT 3.3. PENJELASAN SINGKAT TENTANG MODUL

PERCOBAAN 3 MULTIPLEXER/DEMULTIPLEXER UNIT 3.3. PENJELASAN SINGKAT TENTANG MODUL PERCOBAAN 3 MULTIPLEXER/DEMULTIPLEXER UNIT 3.1. TUJUAN Memahami proses digitalisasi beberapa kanal suara menjadi bentuk sinyal multiplex pada teknologi sentral digital. Memahami pembagian sinyal multiplex

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci

PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO

PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO I. Tujuan PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO Mengamati keluaran data berupa nyala LED dari Arduino Memanfaatkan Port Input dan Output pada Arduino untuk transfer

Lebih terperinci

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY I. Tujuan 1. Mengenal interface Paralel (Parallel Board). 2. Mengenal Visual Basic untuk mengakses parallel port (data, control dan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Rancangan Perangkat Keras 3.1.1 Blok Diagram Sistem Blok diagram dari sistem AVR standalone programmer adalah sebagai berikut : Tombol Memori Eksternal Input I2C PC SPI AVR

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA DATA

BAB IV PENGUJIAN DAN ANALISA DATA BAB IV PENGUJIAN DAN ANALISA DATA Pada bab ini dilakukan pengujian alat dari seluruh rangkaian yang telah dibuat. Proses pengujian ini bertujuan untuk mengetahui kinerja dari alat yang telah dibuat dan

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM. secara otomatis. Sistem ini dibuat untuk mempermudah user dalam memilih

BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM. secara otomatis. Sistem ini dibuat untuk mempermudah user dalam memilih BAB III METODE PENELITIAN DAN PERANCANGAN SISTEM 3.1 Model Penelitian Pada perancangan tugas akhir ini menggunakan metode pemilihan locker secara otomatis. Sistem ini dibuat untuk mempermudah user dalam

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM Pada bab ini akan dijelaskan secara umum perancangan sistem pengingat pada kartu antrian dengan memanfaatkan gelombang radio, yang terdiri dari beberapa bagian yaitu blok diagram

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV. Hasil Dalam Bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Program pengujian disimulasikan di suatu sistem yang sesuai. Pengujian

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON Oleh : Agus Mulyana 23207025 MAGISTER TEKNIK ELEKTRO SEKOLAH TINGGI ELEKTRO DAN INFORMATIKA INSTITUT TEKNOLOGI

Lebih terperinci

Abstrak. Susdarminasari Taini-L2F Halaman 1

Abstrak. Susdarminasari Taini-L2F Halaman 1 Makalah Seminar Kerja Praktek PERANCANGAN APLIKASI PLC OMRON SYSMAC CPM1A PADA TRAFFIC LIGHT DI LABORATORIUM TEKNIK KONTROL OTOMATIK TEKNIK ELEKTRO UNIVERSITAS DIPONEGORO Susdarminasari Taini (L2F009034)

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI. Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : ABSTRAK

REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI. Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : ABSTRAK REMOTE CONTROL INFRARED DENGAN KODE KEAMANAN YANG BEROTASI Disusun Oleh : Nama : Yoshua Wibawa Chahyadi Nrp : 0222051 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof.Drg.Suria Sumantri, MPH no.65, Bandung,

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci