Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Ukuran: px
Mulai penontonan dengan halaman:

Download "Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed"

Transkripsi

1 Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan <stwn at unsoed.ac.id> Tahun Ajaran 2012/2013

2 Operasi logika dasar.

3 Aljabar Boolean. (menggambarkan dan menganalisis rangkaian logika)

4 Apakah rangkaian yang dibangun dengan gerbang-gerbang logika dapat disebut sebagai rangkaian (logika) kombinasional?

5 Tingkat keluaran logika ditentukan oleh kombinasi logika masukan.

6 Rangkaian kombinasional tidak mempunyai karakteristik memori. (Keluaran hanya tergantung pada nilai masukan saat itu)

7 Rangkaian Logika Kombinasional Penyederhanaan rangkaian logika. Aljabar Boolean. Peta Karnaugh. Kemampuan analisis dan penelusuran masalah/troubleshooting.

8 Bentuk Ekspresi Logika

9 Kita membutuhkan ekspresi logika dalam bentuk tertentu.

10 Bentuk Ekspresi Logika Sum of Product (SoP), atau minterm. ABC + ABC AB + ABC + C D + D AB + CD + EF + GK + HL Product of Sum (PoS), maxterm. (A + B + C) (A + C) (A + B) (C + D) F (A + C) (B + D) (B + C) (A + D + E)

11 Tidak boleh ada inversi yang menutupi lebih dari 1 variabel dalam 1 term (AND) pada SoP.

12 Penyederhanaan Rangkaian Logika

13 Kita dapat menyederhanakan rangkaian logika dengan mengurangi term atau variabel di dalamnya.

14 Artinya apa?

15 Gerbang dan koneksi lebih sedikit. Arne Kuilman, CC BY-NC-SA,

16

17 Tocci, Widmer, Moss (2007)

18 Mana yang akan kita pilih?

19 Yang lebih sederhana.

20 Lebih sedikit gerbang yang digunakan.

21 Lebih sedikit interkoneksi antar gerbang.

22 Lebih kecil, lebih murah, lebih handal,...

23 Penyederhanaan dengan Aljabar Boolean

24 Teorema Boolean.

25 Teorema mana yang menghasilkan rangkaian lebih sederhana?

26 Apakah sebuah ekspresi dapat disederhanakan menjadi yang paling sederhana?

27 Tidak ada cara yang mudah ;-) (untuk mengatakannya)

28 Trial and Error. Randy von Liski, CC BY-NC-ND,

29 Dua Langkah Penyederhanaan Ubah ekspresi ke bentuk SoP dengan menerapkan secara berulang teorema DeMorgan dan perkalian term. Setelah didapatkan bentuk SoP, periksa kemungkinan faktorisasi term perkalian (product) sehingga satu atau lebih term tereliminasi/dihilangkan.

30

31 Tocci, Widmer, Moss (2007)

32 Sederhanakan rangkaian berikut! A.C A.B (A. C) z = ABC + A.B (A. C)

33 Bentuk SoP.

34 Teorema DeMorgan

35 x 0=0 x x=x x+0=x x+x=x x 1=x x x=0 x+1=1 x+x=1

36 Faktorisasi term perkalian sampai didapatkan bentuk yang paling sederhana.

37 x 0=0 x x=x x 0=x x x=x x 1=x x x=0 x 1=1 x x=1

38 Sederhanakan rangkaian berikut! A.C A.B (A. C) z = ABC + A.B (A. C) Tocci, Widmer, Moss (2007)

39 Merancang Rangkaian Logika Kombinasional

40 Tabel Kebenaran.

41 Tocci, Widmer, Moss (2007)

42 Prosedur Perancangan Tafsirkan masalah dengan tabel kebenaran. Tulis term AND (product) pada keluaran yang bernilai 1. Tulis ekspresi SoP-nya. Sederhanakan ekspresinya sampai paling sederhana. Implementasikan rangkaian dalam bentuk diagram skematik.

43 Rancanglah rangkaian logika yang mempunyai 3 masukan, A, B, C yang keluarannya TINGGI/HIGH hanya jika mayoritas masukannya TINGGI!

44 Tabel Kebenaran. Berapa entri?

45

46 Tulis term AND pada keluaran yang bernilai 1.

47

48 Tulis ekspresi SoP-nya.

49 x = ABC + ABC + ABC + ABC

50 Sederhanakan ekspresi keluarannya.

51 x = ABC + ABC + ABC + ABC

52 x 0=0 x x=x x 0=x x x=x x 1=x x x=0 x 1=1 x x=1

53 x = ABC + ABC + ABC + ABC + ABC + ABC

54 x = ABC + ABC + ABC + ABC + ABC + ABC

55 x = BC (A + A) + AC (B + B) + AB (C + C)

56 x 0=0 x x=x x 0=x x x=x x 1=x x x=0 x 1=1 x x=1

57 x = BC + AC + AB

58 Tocci, Widmer, Moss (2007)

59 Peta Karnaugh

60 Dikenal dengan Karnaugh map (K-map).

61 Metode grafik. (penyederhanaan ekspresi logika)

62 Mengkonversi tabel kebenaran ke dalam rangkaian logika dengan proses yang lebih sederhana dan sistematis.

63 Dapat digunakan dengan banyak variabel masukan, tetapi dalam praktiknya terbatas pada 5-6 variabel saja.

64 Metode K-map Nilai-nilai tabel kebenaran diletakkan pada Kmap. Kotak-kotak K-map yang berdekatan secara horisontal dan vertikal hanya berbeda 1 variabel. Pola dari atas ke bawah atau kiri ke kanan harus berbentuk A B, AB, AB, AB. Bentuk SoP bisa didapatkan dengan melakukan operasi OR pada semua term (AND) dari kotak yang bernilai 1.

65 Setiap kotak di baris paling atas dianggap berdekatan dengan kotak-kotak pada baris paling bawah. Demikian pula kotak-kotak paling kiri dan kanannya, menggulung.

66 Label

67 Label

68 Tocci, Widmer, Moss (2007) Label

69 Looping

70 Proses menggabungkan kotak-kotak bernilai 1.

71 Ingat variabel normal dan komplemennya

72 Ingat variabel normal dan komplemennya

73 Proses looping 2 kotak bernilai 1 yang berdekatan, akan menghilangkan 1 variabel yang muncul dalam bentuk normal dan komplemennya.

74

75

76

77 Tocci, Widmer, Moss (2007)

78 Quad. bukan kuat :D

79 Proses looping kotak bernilai 1 berjumlah 4 buah yang berdekatan dalam K-map (quad), akan menghilangkan 2 variabel yang muncul dalam bentuk normal dan komplemennya.

80

81

82

83

84

85 Tocci, Widmer, Moss (2007)

86 Octet.

87 Proses looping kotak bernilai 1 berjumlah 8 buah yang berdekatan dalam K-map (octet), akan menghilangkan 3 variabel yang muncul dalam bentuk normal dan komplemennya.

88

89 Tocci, Widmer, Moss (2007)

90 Ketika sebuah variabel muncul dalam bentuk normal dan komplemennya pada sebuah loop, maka variabel tersebut akan dihilangkan dari ekspresi akhir.

91 Variabel-variabel yang tidak berubah untuk semua kotak bernilai 1 pada proses loop akan muncul pada ekspresi akhir.

92 Penyederhanaan K-map (1)..secara lengkap (1) Buat K-map dan letakkan nilai-nilai 1 dan 0 pada kotak-kotak sesuai dengan tabel kebenaran. (2) Cari kotak bernilai 1 yang tidak berdekatan dengan kotak bernilai 1 lainnya, dan lakukan proses looping (isolated 1). (3) Cari kotak bernilai 1 yang berdekatan dengan hanya 1 kotak bernilai 1 lainnya (pasangan) dan lakukan proses looping. (4) Cari kotak-kotak bernilai 1 yang dapat dilakukan proses looping octet, walaupun sudah dilakukan proses looping padanya.

93 Penyederhanaan K-map (2)..secara lengkap (5) Cari kotak-kotak bernilai 1 yang dapat dilakukan proses looping quad. (6) Cari kotak-kotak bernilai 1 yang belum dilakukan proses looping. (7) Bentuk operasi OR untuk semua term yang dihasilkan dari setiap proses looping. (SoP) Minimalkan penggunaan jumlah loop.

94 Tocci, Widmer, Moss (2007)

95 Tocci, Widmer, Moss (2007)

96 Bagaimana penggunaan K-map langsung dari sebuah ekspresi keluaran?

97 K-map dari Ekspresi Keluaran (1) Bentuk ekspresi menjadi SoP. (2) Untuk setiap term (product) dalam ekspresi SoP, letakkan nilai 1 pada setiap kotak K-map yang berisi kombinasi label variabel-variabel masukannya. (3) Lakukan proses looping sesuai dengan prosedur K-map untuk mendapatkan ekspresi sederhananya.

98 Gunakan K-map untuk menyederhanakan: y = C(A B D + D ) + ABC + D

99 Tocci, Widmer, Moss (2007)

100 Don't Care (kondisi)

101 Pada beberapa rancangan rangkaian logika, terdapat kondisi masukan yang nilai keluarannya tidak ditentukan. (karena kondisinya tidak pernah muncul)

102 Kita tak peduli dengan nilai keluaran dari beberapa masukan tersebut. (tinggi atau rendah, terserah!)

103 Kondisi don't care muncul karena kombinasi beberapa masukan tidak pernah ada dalam aplikasi rangkaian logika yang digunakan.

104 Ketika muncul kondisi don't care, perancang rangkaian digital dapat membuat keluarannya 0 atau 1, untuk menghasilkan ekpresi keluaran yang (paling) sederhana.

105 Don't care?

106 Putuskan! 0 atau 1! (pertimbangan: ekspresi keluaran sederhana)

107

108

109

110

111 Tocci, Widmer, Moss (2007)

112 Apa perbedaan penyederhanaan Aljabar Boolean dan K-map?

113 trial and error vs. well-defined steps

114 lebih banyak vs. lebih sedikit (langkah-langkahnya)

115 analisis vs. mekanis

116 Keduanya memiliki kelebihan dan kekurangan.

117 XOR dan XNOR

118

119

120 Rangkaian di atas menghasilkan keluaran TINGGI ketika 2 masukannya bertingkat logika berbeda.

121 Tocci, Widmer, Moss (2007)

122

123

124 Rangkaian di atas menghasilkan keluaran TINGGI ketika 2 masukannya bertingkat logika sama.

125 Tocci, Widmer, Moss (2007)

126 Gerbang XOR dan XNOR hanya memiliki 2 masukan saja.

127 Tentukan Keluaran Gerbang XOR!

128 Tentukan Keluaran Gerbang XOR! Tocci, Widmer, Moss (2007)

129 Rangkaian Enable/Disable

130 Setiap gerbang logika dapat digunakan untuk mengatur apakah sebuah sinyal masukan logika dapat diteruskan ke keluarannya atau tidak.

131 Tocci, Widmer, Moss (2007)

132 Rancang rangkaian logika yang dapat melewatkan sinyal ketika masukan kendali B dan C TINGGI, selain itu keluaran akan RENDAH!

133 Karakteristik Dasar IC Digital

134 IC Digital dibuat dari kumpulan resistor, dioda, transistor pada material semikonduktor bernama substrate atau sering disebut sebagai chip.

135 Chip berada di dalam paket pelindung plastik atau keramik.

136 Dual-In-line Package (DIP)

137 Tocci, Widmer, Moss (2007)

138 Plastic Leaded Chip Carrier (PLCC) Tocci, Widmer, Moss (2007)

139 IC Digital dikategorikan sesuai jumlah gerbang logika di dalam substrate/chip.

140 SSI, kurang dari 12 gerbang MSI, gerbang LSI, gerbang VLSI, gerbang ULSI, gerbang GSI, atau lebih gerbang

141 Programmable Logic Device (PLD).

142 IC Digital Bipolar dan Unipolar (tipe komponen elektronik yang digunakan)

143 IC Digital Bipolar dan Unipolar Bipolar Dibuat dengan transistor bipolar (bipolar junction) NPN dan PNP. Keluarga IC digital bipolar yang paling banyak adalah Transistor-Transistor Logic (TTL). Contoh seri 74. Unipolar Dibuat dengan transistor field-effect: P-channel dan N-channel MOSFET. Complementary Metal-Oxide Semiconductor (CMOS).

144 CMOS Inverter TTL Inverter Tocci, Widmer, Moss (2007)

145 Rangkaian CMOS lebih sedikit komponennya.

146 TTL relatif lebih awet.

147 Tocci, Widmer, Moss (2007) Tingkat Logika TTL dan CMOS

148 Masukan tak terhubung. (floating input)

149 Apa beda TTL dan CMOS dalam hal ini?

150 Masukan tak-terhubung pada TTL akan dianggap sebagai logika 1.

151 Masukan tak-terhubung pada CMOS tidak dapat diprediksi tingkat logikanya. (berdampak buruk, efek: panas dan rusak)

152 Lebih baik tidak ada floating input.

153 Daftar Bacaan Tocci, R.J., Widmer, N.S., Moss, G.L Digital Systems: Principles and Applications, Tenth Edition, Prentice Hall.

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Mengapa perlu Penyederhanaan? SEDERHANA Cheaper Smaller Faster Diperlukan MANIPULASI ALJABAR BOOLE Metode:

Lebih terperinci

Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Brown, Vranesic (2005) Tocci, Widmer, Moss (2007)

Lebih terperinci

Gerbang dan Rangkaian Logika

Gerbang dan Rangkaian Logika Gerbang dan Rangkaian Logika Teknik Digital (TKE 071207) Iwan Setiawan stwn at unsoed.ac.id Pemutakhiran terakhir: 24/04/11 20:51 rangkaian digital beroperasi dalam mode biner. (masukan tegangan bernilai

Lebih terperinci

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Sum-of-Product (SOP) Diturunkan dari tabel kebenaran untuk fungsi dengan mempertimbangkan baris

Lebih terperinci

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Metode Peta Karnaugh Karnaugh Map (K map) Alat bantu grafis dalam penyederhanaan persamaan logic

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 Teorema oolean variabel tunggal Teorema oolean variabel tunggal Teorema oolean variabel banyak (multivariabel) Teorema oolean variabel

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

Sistem dan Kode Bilangan Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Sistem dan Kode Bilangan Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Sistem dan Kode Bilangan Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Sistem bilangan biner penting. (di dalam sistem digital)

Lebih terperinci

Logika Matematika Aljabar Boolean

Logika Matematika Aljabar Boolean Pertemuan ke-5 Logika Matematika Aljabar Boolean Oleh : Mellia Liyanthy 1 TEKNIK INFORMATIKA UNIVERSITAS PASUNDAN TAHUN AJARAN 2007/2008 Bentuk Kanonik dan Bentuk baku atau standar Fungsi boolean yang

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

Aljabar Boolean dan Peta Karnough

Aljabar Boolean dan Peta Karnough Aljabar Boolean dan Peta Karnough a. Logic Function minimization Pada rangkaian yang cukup rumit, kombinasi variable di logic function yang diperoleh dari hasil table kebenaran biasanya pun cukup banyak.

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS)

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) 2.1 TUJUAN - Membuat rangkaian logika Sum of Product dan Product of Sum yang berasar dari gerbang-gerbang

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

Gerbang gerbang Logika -5-

Gerbang gerbang Logika -5- Sistem Digital Gerbang gerbang Logika -5- Missa Lamsani Hal 1 Gerbang Logika 3 gerbang dasar adalah : AND OR NOT 4 gerbang turunan adalah : NAND NOR XOR XNOR Missa Lamsani Hal 2 Gerbang NAND (Not-AND)

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

apakah dalam penguji cobaan ini berhasil atau tidak. tahapan selanjutnya.

apakah dalam penguji cobaan ini berhasil atau tidak. tahapan selanjutnya. 1.5.2.4 Uji Coba Penyederhanaan Tahapan ini adalah tahapan untuk penyempurna tahapan diatas dengan melakukan uji coba penyederhanaan yang telah jadi, apakah dalam penguji cobaan ini berhasil atau tidak.

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

Aljabar Boolean. Adri Priadana

Aljabar Boolean. Adri Priadana Aljabar Boolean Adri Priadana Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun 854. Boole melihat bahwa himpunan dan logika proposisi mempunyai sifat-sifat yang serupa (kemiripan hukum-hukum

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel)

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL Nama : ALI FAHRUDDIN NIM : DBC 113 046 Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) JURUSAN/PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS TEKNIK UNIVERSITAS PALANGKA

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid METODE MC CLUESKEY Disusun Oleh: Syabrul Majid 131421058 PROGRAM STUDI S1 ILMU KOMPUTER EKSTENSI DEPARTEMEN ILMU KOMPUTER FAKULTAS ILMU KOMPUTER DAN TEKNOLOGI INFORMASI UNIVERSITAS SUMATERA UTARA MEDAN

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah 3 PETA KARNAUGH Telah ditunjukkan di bab sebelumnya bahwa penyederhanaan fungsi Boole secara aljabar cukup membosankan dan hasilnya dapat berbeda dari satu orang ke orang lain, tergantung dari kelincahan

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

Perancangan Sistem Digital. Yohanes Suyanto

Perancangan Sistem Digital. Yohanes Suyanto Perancangan Sistem Digital 2009 Daftar Isi 1 SISTEM BILANGAN 1 1.1 Pendahuluan........................... 1 1.2 Nilai Basis............................. 2 1.2.1 Desimal.......................... 2 1.2.2

Lebih terperinci

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Capaian Pembelajaran Mahasiswa mampu menyederhanakan persamaan logika menggunakan Karnaugh Map (K-Map). Mahasiswa mampu

Lebih terperinci

Konsep Analog-Digital dan Sistem Digital Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Konsep Analog-Digital dan Sistem Digital Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Konsep Analog-Digital dan Sistem Digital Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 David.Asch, CC BY-NC-ND, http://flic.kr/p/2poor

Lebih terperinci

IC (Integrated Circuits)

IC (Integrated Circuits) IC (Integrated Circuits) Crystal semikonduktor silikon (chip) yang didalamnya merupakan integritas dari komponen elektronik (representasi rangkaian gerbang logika) Rangkaian didalam IC dihubungkan dengan

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya MA Modul Durasi : Teknologi Digital (61B023) : I / Karakteristik IC TTL dan Penyederhanaan Logika : 165 menit (1 sesi) PENDAHULUAN Teknologi elektronika telah berkembang sangat cepat sehingga hampir semua

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto ,, Kuliah#3 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro , Sebelumnya dibahas tentang konsep rangkaian logika: Representasi biner dan saklar sebagai elemen

Lebih terperinci

Persamaan SOP (Sum of Product)

Persamaan SOP (Sum of Product) Persamaan SOP (Sum of Product) 3 Variabel,, 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 Diktat Elektronika Digital Persamaan SOP dan Peta Karnaugh Perhatikan F=1 digunakan untuk membentuk

Lebih terperinci

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat :

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat : PERTEMUN 3 GERNG LOGIK - Mahasiswa diharapkan dapat : Sasaran Pertemuan3. Mengerti tentang Gerbang Logika Dasar 2. Mengerti tentang ljabar oolean 3. Mengerti tentang MS (Most significant bit) dan LS (least

Lebih terperinci

DASAR ALJABAR BOOLEAN

DASAR ALJABAR BOOLEAN DASAR ALJABAR BOOLEAN Dalam mengembangkan sistem Aljabar Boolean Perlu memulainya dengan asumsi asumsi yakni Postulat Boolean dan Teorema Aljabar Boolean. Postulat Boolean :.. = 2.. = di turunkan dari

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

Bentuk Standar Fungsi Boole

Bentuk Standar Fungsi Boole PETA KARNAUGH Peta Karnaugh digunakan sebagai cara untuk menyederhanakan persamaan logika secara grafis, atau dapat pula dipandang sebagai metoda untuk mengubah suatu tabel kebenaran ke rangkaian logika

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA B IV PENYEDERHANAAN RANGKAIAN LOGIKA 4. Penyederhanaan Secara Aljabar Bentuk persamaan logika sum of minterm dan sum of maxterm yang diperoleh dari tabel kebenaran umumnya jika diimplementasikan ternyata

Lebih terperinci

Aljabar Boolean. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Aljabar Boolean. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Aljabar Boolean Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom September 2015 Representasi Fungsi Boolean Sistem dan Logika

Lebih terperinci

Aljabar Boolean dan Sintesis Fungsi. Logika

Aljabar Boolean dan Sintesis Fungsi. Logika dan Sintesis Fungsi dan Sintesis Fungsi Kuliah#3 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Pengantar dan Sintesis Fungsi Dalam

Lebih terperinci

BAB IV PETA KARNAUGH (KARNAUGH MAPS)

BAB IV PETA KARNAUGH (KARNAUGH MAPS) TEKNIK DIGITAL-PETA KARNAUGH/HAL. 1 BAB IV PETA KARNAUGH (KARNAUGH MAPS) PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean.

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. Prio Handoko, S. Kom., M.T.I. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. George Boole (ahli matematika asal Inggris) Aljabar yang

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T.

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. LAPORAN PRAKTIKUM Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. Oleh : Kelompok 7 Adhitya Sufarinto (1304927) Fernando

Lebih terperinci

TI 2013 IE-204 Elektronika Industri & Otomasi UKM

TI 2013 IE-204 Elektronika Industri & Otomasi UKM TI 23 IE-24 Elektronika Industri & Otomasi UKM Lampiran C Aljabar Boolean Tupel Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan ang didefinisikan pada operaror +,,

Lebih terperinci

BAB 4. Aljabar Boolean

BAB 4. Aljabar Boolean BAB 4 Aljabar Boolean 1. PENDAHULUAN Aljabar Boolean merupakan lanjutan dari matakuliah logika matematika. Definisi aljabar boolean adalah suatu jenis manipulasi nilai-nilai logika secara aljabar. Contoh

Lebih terperinci

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka.

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka. A. TUJUAN : FAKULTAS TEKNIK Semester 5 LOGIKA KOMBINASIONAL 2 4 5 No. LST/EKA/PTE23 Revisi : Tgl : 7-2-2 Hal dari 22 Setelah selesai pembelajaran diharapkan mahasiswa dapat. Menjelaskan kembali prinsip-prinsip

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

Metode Quine McKluskey dan Program Bantu Komputer

Metode Quine McKluskey dan Program Bantu Komputer Quine Quine Program Bantu Kuliah#5 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Penyederhanaan Persamaan Logika Quine Perancangan rangkaian

Lebih terperinci

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TSK304 - Teknik Interface dan Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Dasar-dasar elektronik dan kebutuhan desain mikroprosesor Interkoneksi CPU, memori

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC205 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 2 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom K-Map Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S Teknik Informatika Fakultas Informatika Universitas Telkom September 205 Peta Karnaugh (K-Map) () Sistem dan Logika Digital/205

Lebih terperinci

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan)

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) Dosen: Aniq A Rohmawati, M.Si TELKOM UNIVERSITY JALAN TELEKOMUNIKASI 1, BANDUNG, INDONESIA Latihan 1 Simplify the following Boolean functions using Boolean

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

Review Sistem Digital : Logika Kombinasional

Review Sistem Digital : Logika Kombinasional JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Logika Kombinasional S dan D3 Mata Kuliah : Elektronika Industri 2 5 Lembar Kerja 2. Jaringan Pensaklaran (Switching

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto siskom-undip SK205 Sistem Digital 1 / 38 Review Kuliah Sebelumnya konsep rangkaian logika telah

Lebih terperinci

Metode Quine McKluskey dan Program Bantu Komputer

Metode Quine McKluskey dan Program Bantu Komputer Quine Quine Program Bantu Kuliah#6 TSK205 Sistem Digital Eko Didik Departemen Teknik Sistem, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Penyederhanaan Persamaan Logika

Lebih terperinci

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Sri Handika Utami / 13508006 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10 Bandung

Lebih terperinci

Tabulasi Quine McCluskey

Tabulasi Quine McCluskey Tabulasi Quine McCluskey Tabulasi Quine McCluskey Penyederhanaan fungsi menggunakan tabulasi atau metode Quine McCluskey. Metode penyederhanaan atau yang sering diesebut dengan metode Quine McCluskey,

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 39 Review Kuliah Sebelumnya

Lebih terperinci

Modul 3 Modul 4 Modul 5

Modul 3 Modul 4 Modul 5 ix M Tinjauan Mata Kuliah ata kuliah ini mengkaji tentang konsep dan prinsip dasar dari elektronika dan bernilai 3 sks yang terdiri dari 9 modul. Setelah mengikuti mata kuliah ini Anda diharapkan dapat

Lebih terperinci

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Aldy Wirawan 13511035 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

PERTEMUAN 1 SISTEM DIGITAL

PERTEMUAN 1 SISTEM DIGITAL PERTEMUAN 1 SISTEM DIGITAL Sasaran Pertemuan 1 - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP)

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP) PERCOBN 5. PENYEDERHNN RNGKIN LOGIK (MENGGUNKN K-MP) TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Membuat sebuah rangkaian logika sederhana melalui persamaan Boolean dan Tabel

Lebih terperinci

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Logika Logika Kuliah#4 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang implementasi fungsi logika menjadi suatu rangkaian

Lebih terperinci

Sasaran Pertemuan 1. Tugas Kelompok

Sasaran Pertemuan 1. Tugas Kelompok Sasaran Pertemuan 1 PERTEMUAN 1 SISTEM DIGITAL - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

Karnaugh MAP (K-Map)

Karnaugh MAP (K-Map) Karnaugh MP (K-Map) Pokok ahasan :. K-map 2 variabel 2. K-map 3 variabel 3. K-map 4 variabel 4. Penyederhanaan rangkaian dengan k-map Tujuan Instruksional Khusus :.Mahasiswa dapat menerangkan dan memahami

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a

Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a Aplikasi Gerbang Logika untuk Pembuatan Prototipe Penjemur Ikan Otomatis Vivi Oktavia a, Boni P. Lapanporo a*, Andi Ihwan a a Jurusan Fisika FMIPA Universitas Tanjungpura Jl. Prof. Dr. H. Hadari Nawawi

Lebih terperinci

MK SISTEM DIGITAL SESI III GERBANG LOGIKA

MK SISTEM DIGITAL SESI III GERBANG LOGIKA MK SISTEM DIGITAL SESI III GERBANG LOGIKA OLEH : HIDAAT Gerbang Logika Gerbang Logika adl. dasar pembentuk dalam sistem digital. beroperasi dalam bilangan biner (gerbang logika biner). Logika biner menggunakan

Lebih terperinci