Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Ukuran: px
Mulai penontonan dengan halaman:

Download "Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:"

Transkripsi

1 Peta Karnaugh

2 Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem digital bertujuan untuk menentukan jenis rangkaian digital sesuai prinsip kerja pada tabel kebenaran Konversi Tabel kebenaran menjadi ekspresi boolean, Merupakan sebuah prosedur untuk menentukan rangkaian digital dari sebuah tabel kebenaran

3 Konversi Tabel Kebenaran Ke Ekspresi Boolean (2) Ilustrasi prosedur konversi:

4 Konversi Tabel Kebenaran Ke Ekspresi Boolean (3) Penggunaan sensor untuk mendeteksi kebaradaan api

5 Konversi Tabel Kebenaran Ke Ekspresi Boolean (4) Skenario prinsip kerja rangkaian kendali (logic system) Valve terbuka jika salah satu sensor mendeteksi api? tidak sesuai dengan tujuan penggunaan banyak sensor Valve terbuka jika semua sensor mendeteksi api? keamanan maksimum Valve terbuka jika dua dari tiga sensor mendeteksi api? realistik

6 Konversi Tabel Kebenaran Ke Ekspresi Boolean (5) Tabel kebenaran dan sistem dengan skenario kedua

7 Konversi Tabel Kebenaran Ke Ekspresi Boolean (6) Tabel kebenaran dengan skenario sistem yang ketiga dan rangkaian digitalnya

8 Sum of Product dan Product of Sum (1) Jika output 1 lebih sedikit, lebih mudah menggunakan sum of product Jika output 0 lebih sedikit, lebih mudah menggunakan product of sum Sum of Product dan Product of Sum merupakan kompelemen satu dengan lainnya yang menghasilkan sistem yang identik Setelah persamaan boolean diperoleh, rangkaian sistem digital dapat dibuat, kemudian disederhanakan jika memungkinkan

9 Sum of Product dan Product of Sum (1)

10 Penyederhanaan Boolean Penyederhanaan Boolean yang direkomendasikan Jumlah Variable Aljabar Boolean Peta Karnaugh Computer Aided 1-2? 3? 4?? ? >8 Beberapa contoh computer aided: PALASM, ABEL, CUPL, Verilog, dan VHDL

11 Representasi Sistem

12 Table Kebenaran Ke Peta Karnaugh

13 Peta Karnaugh (1) Soal: Ubahlah table kebenaran berikut ini ke dalam Peta Karnaugh dan tentukan persamaan Boolean-nya.

14 Peta Karnaugh (2) Solusi Penentuan persamaan Boolean: Kelompokkan 1 yang berdekatan Tentukan variable untuk kelompok (group) tersebut Abaikan variable yang tidak sama pada sebuah group Abaikan variable yang tidak berhubungan dengan cell yang berisi 1

15 Peta Karnaugh (3) Sederhanakan rangkaian berikut ini:

16 Penyederhanaan dengan Peta Karnaugh (1) Grey code: Kode pada Peta Karnaugh bagian atas bukan merupaka urutan bilangan biner tetapi merupakan grey code Grey code hanya memiliki satu bit yang berbeda dengan urutan di dekatnya

17 Penyederhanaan dengan Peta Karnaugh (2) Sebuah sistem memiliki Sum of Product output sebagai berikut: out = A B C + A B C

18 Penyederhanaan dengan Peta Karnaugh (3) Sebuah sistem memiliki Sum of Product output sebagai berikut: out = A B C + A B C + A BC + A BC

19 Penyederhanaan dengan Peta Karnaugh (4) Sebuah sistem memiliki Sum of Product output sebagai berikut: out = A B C+ A BC + AB C + ABC

20 Penyederhanaan dengan Peta Karnaugh (5) Sebuah sistem memiliki Sum of Product output sebagai berikut: out = A B C + A B C + A BC + A BC + ABC + ABC

21 Penyederhanaan dengan Peta Karnaugh (6) Sebuah sistem memiliki Sum of Product output sebagai berikut: out = A B C + AB C + A BC + ABC

22 Penyederhanaan dengan Peta Karnaugh (7)

23 Peta Karnaugh 4-variable

24 Minterm vs. Maxterm (1) Minterm: ekspresi Boolean yang menghasilkan nilai 1 sebagai output sebuah Cell, dan nilai 0 sebagai output cell lainnya dalam peta Karnaugh atau tabel kebenaran Maxterm: ekspresi Boolean yang menghasilkan nilai 0 sebagai output sebuah Cell, dan nilai 1 sebagai output cell lainnya dalam peta Karnaugh atau tabel kebenaran A B C Minterm Maxterm A B C A+B+C A B C A+B+C A BC A+B +C A BC A+B +C AB C A +B+C AB C A +B+C ABC A +B +C ABC A +B +C

25 Minterm vs. Maxterm (2) Contoh: Sederhanakan ekspresi Boolean dalam bentuk Product of Sum (PoS) berikut ini untuk menghasilkan bentuk PoS atau Sum of Product (SoP) yang lebih sederhana

26 Don t Care Cell (1) Tidak merupakan keharusan untuk mengisi semua tabel kebenaran untuk menyelesaikan suatu permasalahan Hanya sebagian dari tabel kebanaran yang diketahu secara pasti Don t care di dalam peta karnaugh dapat merupakan 1 atau 0, Selama output dari suatu kombinasi input tidak menjadi perhatian Dapat digunakan jika menghasilkan sistem yang lebih sederhana

27 Don t Care Cell (2) Sebuah sistem lamp logic akan dirancang dengan prinsip kerja: Lampu indikator L1 s.d L5 akan menyela bersesuaian dengan kecepatan sepeda statis. Semakin cepat sepeda statis dikayuh, lampu akan menyala dari L1, L2 dan seterusnya sampai semua lampu menyala Jika semua lampu telah menyela, penambahan kecepatan sepeda tidak mempengaruhi indikator lampu

28 Don t Care Cell (3)

29 Sistem Dengan Multi-Output A B C X Y Input: A, B, dan C Output: X dan Y

30 Contoh I

31 Tabel Kebenaran Contoh 1 A B C D Out

32 Peta Karnaugh 5-6 Variable Grey Code Overlay

33 Contoh II

34

35

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel)

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL Nama : ALI FAHRUDDIN NIM : DBC 113 046 Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) JURUSAN/PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS TEKNIK UNIVERSITAS PALANGKA

Lebih terperinci

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid METODE MC CLUESKEY Disusun Oleh: Syabrul Majid 131421058 PROGRAM STUDI S1 ILMU KOMPUTER EKSTENSI DEPARTEMEN ILMU KOMPUTER FAKULTAS ILMU KOMPUTER DAN TEKNOLOGI INFORMASI UNIVERSITAS SUMATERA UTARA MEDAN

Lebih terperinci

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Metode Peta Karnaugh Karnaugh Map (K map) Alat bantu grafis dalam penyederhanaan persamaan logic

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

Aljabar Boolean dan Peta Karnough

Aljabar Boolean dan Peta Karnough Aljabar Boolean dan Peta Karnough a. Logic Function minimization Pada rangkaian yang cukup rumit, kombinasi variable di logic function yang diperoleh dari hasil table kebenaran biasanya pun cukup banyak.

Lebih terperinci

Kuliah#5 TKC205 Sistem Digital. Eko Didik Widianto

Kuliah#5 TKC205 Sistem Digital. Eko Didik Widianto & & Kuliah#5 TKC205 Sistem Digital Eko Didik Departemen Teknik Sistem Komputer, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Umpan Balik & Sebelumnya dibahas tentang: penyederhanaan

Lebih terperinci

Logika Matematika Aljabar Boolean

Logika Matematika Aljabar Boolean Pertemuan ke-5 Logika Matematika Aljabar Boolean Oleh : Mellia Liyanthy 1 TEKNIK INFORMATIKA UNIVERSITAS PASUNDAN TAHUN AJARAN 2007/2008 Bentuk Kanonik dan Bentuk baku atau standar Fungsi boolean yang

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS)

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) 2.1 TUJUAN - Membuat rangkaian logika Sum of Product dan Product of Sum yang berasar dari gerbang-gerbang

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Capaian Pembelajaran Mahasiswa mampu menyederhanakan persamaan logika menggunakan Karnaugh Map (K-Map). Mahasiswa mampu

Lebih terperinci

BAB 4. Aljabar Boolean

BAB 4. Aljabar Boolean BAB 4 Aljabar Boolean 1. PENDAHULUAN Aljabar Boolean merupakan lanjutan dari matakuliah logika matematika. Definisi aljabar boolean adalah suatu jenis manipulasi nilai-nilai logika secara aljabar. Contoh

Lebih terperinci

Kuliah#4 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#4 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Logika Logika Kuliah#4 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang implementasi fungsi

Lebih terperinci

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Mengapa perlu Penyederhanaan? SEDERHANA Cheaper Smaller Faster Diperlukan MANIPULASI ALJABAR BOOLE Metode:

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu,

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum ada proses penyederhanaan fungsi, beberapa kalangan seperti mahasiswa, dosen, bahkan ilmuwan yang bergerak dibidang matematik dan informatika merasa kesulitan

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 Teorema oolean variabel tunggal Teorema oolean variabel tunggal Teorema oolean variabel banyak (multivariabel) Teorema oolean variabel

Lebih terperinci

Gerbang gerbang Logika -5-

Gerbang gerbang Logika -5- Sistem Digital Gerbang gerbang Logika -5- Missa Lamsani Hal 1 Gerbang Logika 3 gerbang dasar adalah : AND OR NOT 4 gerbang turunan adalah : NAND NOR XOR XNOR Missa Lamsani Hal 2 Gerbang NAND (Not-AND)

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA B IV PENYEDERHANAAN RANGKAIAN LOGIKA 4. Penyederhanaan Secara Aljabar Bentuk persamaan logika sum of minterm dan sum of maxterm yang diperoleh dari tabel kebenaran umumnya jika diimplementasikan ternyata

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

Persamaan SOP (Sum of Product)

Persamaan SOP (Sum of Product) Persamaan SOP (Sum of Product) 3 Variabel,, 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 Diktat Elektronika Digital Persamaan SOP dan Peta Karnaugh Perhatikan F=1 digunakan untuk membentuk

Lebih terperinci

TI 2013 IE-204 Elektronika Industri & Otomasi UKM

TI 2013 IE-204 Elektronika Industri & Otomasi UKM TI 23 IE-24 Elektronika Industri & Otomasi UKM Lampiran C Aljabar Boolean Tupel Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan ang didefinisikan pada operaror +,,

Lebih terperinci

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Prosiding Seminar Nasional Matematika dan Terapannya 2016 p-issn : 2550-038; e-issn : 2550-0392 REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Wulan Cahyani Jurusan Matematika, Fakultas Matematika dan Ilmu Pengetahuan

Lebih terperinci

Aljabar Boolean. Bahan Kuliah Matematika Diskrit

Aljabar Boolean. Bahan Kuliah Matematika Diskrit Aljabar Boolean Bahan Kuliah Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Pertemuan ke-5 ALJABAR BOOLEAN III

Pertemuan ke-5 ALJABAR BOOLEAN III Pertemuan ke-5 ALJABAR BOOLEAN III Kompetensi Umum Setelah mengikuti perkuliah ini, diharapkan Anda dapat memahami bentuk kanonik dan menuliskan suatu ekspresi aljabar dalam bentuk kanonik. Kompetensi

Lebih terperinci

BAB IV PETA KARNAUGH (KARNAUGH MAPS)

BAB IV PETA KARNAUGH (KARNAUGH MAPS) TEKNIK DIGITAL-PETA KARNAUGH/HAL. 1 BAB IV PETA KARNAUGH (KARNAUGH MAPS) PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik

Lebih terperinci

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1 Aljabar Boolean Rinaldi Munir/IF25 Mat. Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto & & Kuliah#4 TKC205 Sistem Digital Eko Didik Departemen Teknik Sistem Komputer, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Umpan Balik Sebelumnya dibahas tentang implementasi

Lebih terperinci

Bentuk Standar Fungsi Boole

Bentuk Standar Fungsi Boole PETA KARNAUGH Peta Karnaugh digunakan sebagai cara untuk menyederhanakan persamaan logika secara grafis, atau dapat pula dipandang sebagai metoda untuk mengubah suatu tabel kebenaran ke rangkaian logika

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

DEFINISI ALJABAR BOOLEAN

DEFINISI ALJABAR BOOLEAN ALJABAR BOOLEAN DEFINISI ALJABAR BOOLEAN Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

Metode Quine McKluskey dan Program Bantu Komputer

Metode Quine McKluskey dan Program Bantu Komputer Quine Quine Program Bantu Kuliah#5 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Penyederhanaan Persamaan Logika Quine Perancangan rangkaian

Lebih terperinci

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean.

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. Prio Handoko, S. Kom., M.T.I. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. George Boole (ahli matematika asal Inggris) Aljabar yang

Lebih terperinci

Review Sistem Digital : Aljabar Boole

Review Sistem Digital : Aljabar Boole JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Aljabar Boole S dan D3 Mata Kuliah : Elektronika Industri 2 x 5 Lembar Kerja Dalam Aljabar Boole, Misalkan terdapat

Lebih terperinci

Metode Quine McKluskey dan Program Bantu Komputer

Metode Quine McKluskey dan Program Bantu Komputer Quine Quine Program Bantu Kuliah#6 TSK205 Sistem Digital Eko Didik Departemen Teknik Sistem, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Penyederhanaan Persamaan Logika

Lebih terperinci

Aljabar Boolean. Matematika Diskrit

Aljabar Boolean. Matematika Diskrit Aljabar Boolean Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua

Lebih terperinci

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP)

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP) PERCOBN 5. PENYEDERHNN RNGKIN LOGIK (MENGGUNKN K-MP) TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Membuat sebuah rangkaian logika sederhana melalui persamaan Boolean dan Tabel

Lebih terperinci

FPMIPA UPI ILMU KOMPUTER I. TEORI HIMPUNAN

FPMIPA UPI ILMU KOMPUTER I. TEORI HIMPUNAN I. TEORI HIMPUNAN 1. Definisi Himpunan hingga dan Tak hingga 2. Notasi himpuanan 3. Cara penulisan 4. Macam-macam Himpunan 5. Operasi Himpunan 6. Hukum pada Operasi Himpunan 7. Perkalian Himpunan (Product

Lebih terperinci

Tabulasi Quine McCluskey

Tabulasi Quine McCluskey Tabulasi Quine McCluskey Tabulasi Quine McCluskey Penyederhanaan fungsi menggunakan tabulasi atau metode Quine McCluskey. Metode penyederhanaan atau yang sering diesebut dengan metode Quine McCluskey,

Lebih terperinci

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Operasi logika dasar. Aljabar Boolean. (menggambarkan

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

KONSEP PENDAHULUAN. Sistem Digital

KONSEP PENDAHULUAN. Sistem Digital KONSEP PENDAHULUAN Sistem Digital SILABUS Pendahuluan sistem digital: Konsep dasar sistem digital Sistem bilangan Konversi sistem bilangan Aljabar Boolean Peta Karnaugh Rangkaian Sequential Design dan

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari II. TINJAUAN PUSTAKA Definisi 2.1 Graf Graf G adalah suatu struktur (V,E) dengan V(G) himpunan tak kosong dengan elemenelemenya disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut

Lebih terperinci

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Aldy Wirawan 13511035 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Logika Logika Kuliah#4 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang implementasi fungsi logika menjadi suatu rangkaian

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 --

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 -- Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 23/24 STMIK Dumai -- Materi 8 -- Digital Principles and Applications, Leach-Malvino, McGraw-Hill Adhi Yuniarto L.Y. Boolean Algebra. Fasilkom

Lebih terperinci

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan Logika Matematika Bab 1: Aljabar Boolean Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan 1 Nilai fungsi Fungsi Boolean dinyatakan nilainya pada setiap variabel yaitu

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan)

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) Dosen: Aniq A Rohmawati, M.Si TELKOM UNIVERSITY JALAN TELEKOMUNIKASI 1, BANDUNG, INDONESIA Latihan 1 Simplify the following Boolean functions using Boolean

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam 2.1 Definisi Aljabar Boolean Aljabar Boolean dapat didefinisikan secara abstrak dalam beberapa cara. Cara yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya dan operasi operasi yang

Lebih terperinci

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Sum-of-Product (SOP) Diturunkan dari tabel kebenaran untuk fungsi dengan mempertimbangkan baris

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom K-Map Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S Teknik Informatika Fakultas Informatika Universitas Telkom September 205 Peta Karnaugh (K-Map) () Sistem dan Logika Digital/205

Lebih terperinci

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi Rangkaian Logika Optimal: dan Strategi Minimisasi Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

BAB IV IMPLEMENTASI DAN EVALUASI

BAB IV IMPLEMENTASI DAN EVALUASI BAB IV IMPLEMENTASI DAN EVALUASI 4.1 Implementasi Sistem Implementasi sistem program ini mencakup spesifikasi kebutuhan perangkat keras (hardware) dan spesifikasi perangkat lunak (software). 4.1.1 Spesifikasi

Lebih terperinci

Karnaugh MAP (K-Map)

Karnaugh MAP (K-Map) Karnaugh MP (K-Map) Pokok ahasan :. K-map 2 variabel 2. K-map 3 variabel 3. K-map 4 variabel 4. Penyederhanaan rangkaian dengan k-map Tujuan Instruksional Khusus :.Mahasiswa dapat menerangkan dan memahami

Lebih terperinci

Rangkaian Multilevel

Rangkaian Multilevel Quine Quine Kuliah#5 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Quine Sebelumnya dibahas tentang optimasi rangkaian dengan penyederhanaan

Lebih terperinci

Aplikasi Aljabar Boolean dalam Komparator Digital

Aplikasi Aljabar Boolean dalam Komparator Digital Aplikasi Aljabar Boolean dalam Komparator Digital Ade Yusuf Rahardian / 13514079 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10 Bandung

Lebih terperinci

Aljabar Boolean. Adri Priadana

Aljabar Boolean. Adri Priadana Aljabar Boolean Adri Priadana Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun 854. Boole melihat bahwa himpunan dan logika proposisi mempunyai sifat-sifat yang serupa (kemiripan hukum-hukum

Lebih terperinci

=== BENTUK KANONIK DAN BENTUK BAKU ===

=== BENTUK KANONIK DAN BENTUK BAKU === TEKNIK DIGITL === ENTUK KNONIK DN ENTUK KU === entuk Kanonik yaitu Fungsi oolean yang iekspresikan alam bentuk SOP atau POS engan minterm atau maxterm mempunyai literal yang lengkap. entuk aku yaitu Fungsi

Lebih terperinci

Pertemuan 10. Fungsi Boolean, Bentuk Kanonik dan Bentuk Baku

Pertemuan 10. Fungsi Boolean, Bentuk Kanonik dan Bentuk Baku Pertemuan Fungsi Boolean, Bentuk Kanonik dan Bentuk Baku Dosen Ir. Hasanuddin Sirait, MT www.hsirait.wordpress.com STMIK Parna Raya Manado HP : 8356633766 Fungsi Boolean Pada aljabar Boolean dua-nilai

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

Perancangan Sistem Digital. Yohanes Suyanto

Perancangan Sistem Digital. Yohanes Suyanto Perancangan Sistem Digital 2009 Daftar Isi 1 SISTEM BILANGAN 1 1.1 Pendahuluan........................... 1 1.2 Nilai Basis............................. 2 1.2.1 Desimal.......................... 2 1.2.2

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Program Studi Teknik Informatika Nama : Sekolah Teknik Elektro dan Informatika NIM :

Program Studi Teknik Informatika Nama : Sekolah Teknik Elektro dan Informatika NIM : Program Studi Teknik Informatika Nama : Sekolah Teknik Elektro dan Informatika NIM : Institut Teknologi Bandung T.tangan: Solusi Kuis ke-2 IF2120 Matematika Diskrit (3 SKS) Relasi dan Fungsi, Aljabar Boolean,

Lebih terperinci

0.(0.1)=(0.0).1 0.0=0.1 0=0

0.(0.1)=(0.0).1 0.0=0.1 0=0 Latihan : 1. Diketahui himpunan B dengan tiga buah nilai (0,1,2) dan dua buah operator, + dan. kaidah operasi dengan operator + dan didefinisikan pada tabel di bawah ini : + 0 1 2 0 0 0 0 1 0 1 1 2 0 1

Lebih terperinci

Penyederhanaan fungsi Boolean

Penyederhanaan fungsi Boolean Penyederhanaan fungsi Boolean Proses penyederhanaan fungsi Boolean dengan metode Quine-McCluskey mempunyai 7 (tujuh) langkah pengerjaan untuk menyederhanakan fungsi Boolean dalam bentuk SOP (sum-of-product)

Lebih terperinci

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah 3 PETA KARNAUGH Telah ditunjukkan di bab sebelumnya bahwa penyederhanaan fungsi Boole secara aljabar cukup membosankan dan hasilnya dapat berbeda dari satu orang ke orang lain, tergantung dari kelincahan

Lebih terperinci

Review Sistem Digital : Logika Kombinasional

Review Sistem Digital : Logika Kombinasional JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Logika Kombinasional S dan D3 Mata Kuliah : Elektronika Industri 2 5 Lembar Kerja 2. Jaringan Pensaklaran (Switching

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP)

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) Mata Kuliah : Elektronika Digital (3 SKS) Kode : ELP 2318 Prasyarat : - Program Studi : Teknik Elektronika (program D-3) Semester

Lebih terperinci

Demultiplexer dan Multiplexer Oleh : Khany Nuristian Defi Setiawati Tugas Sistem Digital DEMULTIPLEKSER

Demultiplexer dan Multiplexer Oleh : Khany Nuristian Defi Setiawati Tugas Sistem Digital DEMULTIPLEKSER Demultiplexer dan Multiplexer Oleh : Khany Nuristian 0917041035 Defi Setiawati 1017041025 Tugas Sistem Digital DEMULTIPLEKSER Sebuah Demultiplexer adalah rangkaian logika yang menerima satu input data

Lebih terperinci

PENYEDERHANAAN DENGAN KARNAUGH MAP

PENYEDERHANAAN DENGAN KARNAUGH MAP PENYEDERHANAAN DENGAN KARNAUGH MAP Karnaugh Map adalah pengganti persamaan aljabar boole. Maksud penulisan variable pada peta (map) ini, agar dalam peta hanya ada satu variable yang berubah dari bentuk

Lebih terperinci

Aljabar Boolean dan Sintesis Fungsi. Logika

Aljabar Boolean dan Sintesis Fungsi. Logika dan Sintesis Fungsi dan Sintesis Fungsi Kuliah#3 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Pengantar dan Sintesis Fungsi Dalam

Lebih terperinci

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto ,, Kuliah#3 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro , Sebelumnya dibahas tentang konsep rangkaian logika: Representasi biner dan saklar sebagai elemen

Lebih terperinci

Review Kuliah. Peta Karnaugh. Recall:Penyederhanaan. Peta Karnaugh

Review Kuliah. Peta Karnaugh. Recall:Penyederhanaan. Peta Karnaugh Review Kuliah Sebelumnya dibahas sintesis rangkaian logika dari deskripsi kebutuhan fungsinya berupa tabel kebenaran, diagram pewaktuan Rangkaian Logika Optimal: dan Strategi Minimisasi Eko Didik Widianto

Lebih terperinci

Penyederhanaan Fungsi Boolean

Penyederhanaan Fungsi Boolean Penyederhanaan Fungsi Boolean Contoh. f(x, y) = x y + xy + y disederhanakan menjadi f(x, y) = x + y Penyederhanaan fungsi Boolean dapat dilakukan dengan 3 cara:. Secara aljabar 2. Menggunakan Peta Karnaugh

Lebih terperinci

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka.

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka. A. TUJUAN : FAKULTAS TEKNIK Semester 5 LOGIKA KOMBINASIONAL 2 4 5 No. LST/EKA/PTE23 Revisi : Tgl : 7-2-2 Hal dari 22 Setelah selesai pembelajaran diharapkan mahasiswa dapat. Menjelaskan kembali prinsip-prinsip

Lebih terperinci