Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition

Ukuran: px
Mulai penontonan dengan halaman:

Download "Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition"

Transkripsi

1 Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition

2 Metode Peta Karnaugh Karnaugh Map (K map) Alat bantu grafis dalam penyederhanaan persamaan logic (Boolean) Untuk mengkonversi tabel kebenaran menjadi rangkaian logic K map memberikan metode penyelesaian yang sederhana dan sistematis K map dapat digunakan menyelesaikan permasalahan dengan berapapun jumlah variable input Secara praktis, kegunaan K map dibatasi pada 5 sampai 6 variabel Untuk permasalahan dengan variabel yang banyak sebaiknya digunakan dengan K map yang diaplikasikan pada komputer

3 Format K Map (1) K Map Tabel Kebenaran Bertujuan untuk menggambarkan hubungan antara logic input dan output yang diharapkan X Tabel Kebenaran B B A 1 0 A 0 1 K Map

4 Format K Map (2) Output dari tabel kebenaran adalah nilai yang ada di dalam setiap kotak pada K map Setiap kotak pada K map diberi label sedemikian rupa sehingga kotak yang berdekatan hanya berbeda satu variabel Grey Code Setelah setiap kotak K map terisi dengan 1 atau 0, persamaan sum-of-product dapat diperoleh dengan meng-or-kan kotak yang berisi 1

5 Looping (Grouping) Looping Pairs ada dua buah "1" yang berdekatan akan mengeliminasi sebuah variable Looping Quads ada empat buah "1" yang berdekatan akan mengeliminasi dua variable Looping Octets ada delapan buah "1" yang berdekatan akan mengeliminasi tiga variable

6 Complete Simplification Process Aturan looping untuk semua ukuran K map: Setiap variabel yang muncul dalam bentuk komplemen dari variabel itu sendiri, variable ini dihilangkan dari persamaan Variabel yang sama untuk setiap kotak dalam loop harus muncul pada persamaan akhir yang disederhanakan Semakin besar loop, semakin banyak variabel yang dihilangkan hasil dari setiap loop (group) di-or-kan untuk menjadi persamaan sum-of-product

7 Prosedur Penyederhanaan Langkah-langkah penyederhanaan: buatlah K map dari tabel kebenaran atau persamaan Boolean (gunakan "1", kotak kosong sebagai "0") perhatikan "1" yang tidak berdekatan dengan "1" lainnya atau loop yang ada: isolated "1" perhatikan "1" yang hanya berdekatan dengan sebuah "1" lainnya: loop pair bentuk loop octet boleh terdiri dari "1" yang sudah di"loop" bentuk loop quad yang terdiri dari sebuah "1" atau lebih yang belum di"loop": meminimalkan jumlah loop bentuk loop pair yang masih ada untuk "1" yang belum di"loop" bentuk sum-of-product dengan meng-or-kan setiap hasil loop

8 Contoh: Prosedur Penyederhanaan (1) C D C D C D C D C D C D C D C D Gambar A C D C D C D C D Gambar C Gambar B

9 Contoh: Prosedur Penyederhanaan (2) C D C D C D C D Gambar D

10 K Map dari Persamaan Dengan diketahui suatu persamaan Boolean, K map dapat diperoleh dengan langkah-langkah: Buatlah persamaan dalam bentuk sum-of-product Untuk setiap bagian sum-of-product, isikan 1 pada kotak K map yang merupakan kombinasi bagian sum-of-product Isikan 0 untuk kotak lainnya Contoh: Gunakan K map untuk menyederhanakan y = C D + D + ABC + D

11 Don t Care Condition Ada rangkaian logic yang dirancang sedemikian rupa sehingga suatu keadaan input untuk keadaan output yang tidak ditentukan (didefinisikan) Kombinasi input semacam ini akan menghasilkan output don t care baik itu HIGH atau LOW C Z X/? X/? Don t Care C C C C C C

12 Contoh: Don t Care Misal, sebuah rangkaian pengendali elevator untuk tiga lantai akan dirancang dengan memiliki 4 buah input. M adalah sinyal yang mengindikasikan elevator bergerak (M = 1) dan berhenti (M = 0). L1, L2, dan L3 indikator setiap lantai yang keadaan awalnya bernilai 0 (LOW) dan berubah menjadi 1 (HIGH) jika elevator berada pada lantai tertentu. Sebagai contoh, jika elevator berada di lantai 2 maka L2 = 1 dan L1 = L3 = 0. Output rangkaian yang akan dirancang adalah signal BUKA yang keadaan awalnya bernilai 0 (LOW) dan akan berubah menjadi 1 (HIGH) kapanpun pintu harus terbuka.

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U NIKO M 2012 Teorema oolean variabel tunggal Teorema oolean variabel tunggal Teorema oolean variabel banyak (multivariabel) Teorema oolean variabel

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

PENYEDERHANAAN DENGAN KARNAUGH MAP

PENYEDERHANAAN DENGAN KARNAUGH MAP PENYEDERHANAAN DENGAN KARNAUGH MAP Karnaugh Map adalah pengganti persamaan aljabar boole. Maksud penulisan variable pada peta (map) ini, agar dalam peta hanya ada satu variable yang berubah dari bentuk

Lebih terperinci

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Mengapa perlu Penyederhanaan? SEDERHANA Cheaper Smaller Faster Diperlukan MANIPULASI ALJABAR BOOLE Metode:

Lebih terperinci

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP)

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP) PERCOBN 5. PENYEDERHNN RNGKIN LOGIK (MENGGUNKN K-MP) TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Membuat sebuah rangkaian logika sederhana melalui persamaan Boolean dan Tabel

Lebih terperinci

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Operasi logika dasar. Aljabar Boolean. (menggambarkan

Lebih terperinci

Bentuk Standar Fungsi Boole

Bentuk Standar Fungsi Boole PETA KARNAUGH Peta Karnaugh digunakan sebagai cara untuk menyederhanakan persamaan logika secara grafis, atau dapat pula dipandang sebagai metoda untuk mengubah suatu tabel kebenaran ke rangkaian logika

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

Penyederhanaan fungsi Boolean. Gembong Edhi

Penyederhanaan fungsi Boolean. Gembong Edhi Penyederhanaan fungsi Boolean Gembong Edhi Setyawan gembong@ub.ac.id @gembong TujuanPerkuliahan Menggambar peta karnaugh berdasarkan fungsi boolean atau tabel kebenaran yang diketahui Menyederhanakan fungsi

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA

BAB IV PENYEDERHANAAN RANGKAIAN LOGIKA B IV PENYEDERHANAAN RANGKAIAN LOGIKA 4. Penyederhanaan Secara Aljabar Bentuk persamaan logika sum of minterm dan sum of maxterm yang diperoleh dari tabel kebenaran umumnya jika diimplementasikan ternyata

Lebih terperinci

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS)

BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) BAB 2 PENYEDERHANAAN RANGKAIAN DENGAN PETA KARNAUGH SUM OF PRODUCT (SOP) DAN PRODUCT OF SUM (POS) 2.1 TUJUAN - Membuat rangkaian logika Sum of Product dan Product of Sum yang berasar dari gerbang-gerbang

Lebih terperinci

Persamaan SOP (Sum of Product)

Persamaan SOP (Sum of Product) Persamaan SOP (Sum of Product) 3 Variabel,, 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 Diktat Elektronika Digital Persamaan SOP dan Peta Karnaugh Perhatikan F=1 digunakan untuk membentuk

Lebih terperinci

Karnaugh MAP (K-Map)

Karnaugh MAP (K-Map) Karnaugh MP (K-Map) Pokok ahasan :. K-map 2 variabel 2. K-map 3 variabel 3. K-map 4 variabel 4. Penyederhanaan rangkaian dengan k-map Tujuan Instruksional Khusus :.Mahasiswa dapat menerangkan dan memahami

Lebih terperinci

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto & & Kuliah#4 TKC205 Sistem Digital Eko Didik Departemen Teknik Sistem Komputer, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Umpan Balik Sebelumnya dibahas tentang implementasi

Lebih terperinci

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid

METODE MC CLUESKEY. Disusun Oleh: Syabrul Majid METODE MC CLUESKEY Disusun Oleh: Syabrul Majid 131421058 PROGRAM STUDI S1 ILMU KOMPUTER EKSTENSI DEPARTEMEN ILMU KOMPUTER FAKULTAS ILMU KOMPUTER DAN TEKNOLOGI INFORMASI UNIVERSITAS SUMATERA UTARA MEDAN

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Capaian Pembelajaran Mahasiswa mampu menyederhanakan persamaan logika menggunakan Karnaugh Map (K-Map). Mahasiswa mampu

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel)

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL Nama : ALI FAHRUDDIN NIM : DBC 113 046 Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) JURUSAN/PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS TEKNIK UNIVERSITAS PALANGKA

Lebih terperinci

Tabulasi Quine McCluskey

Tabulasi Quine McCluskey Tabulasi Quine McCluskey Tabulasi Quine McCluskey Penyederhanaan fungsi menggunakan tabulasi atau metode Quine McCluskey. Metode penyederhanaan atau yang sering diesebut dengan metode Quine McCluskey,

Lebih terperinci

PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik peta karnaugh.

PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik peta karnaugh. PETA KARNAUGH PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik peta karnaugh. Peta karnaugh merupakan sekumpulan kotak-kotak

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

Aljabar Boolean dan Peta Karnough

Aljabar Boolean dan Peta Karnough Aljabar Boolean dan Peta Karnough a. Logic Function minimization Pada rangkaian yang cukup rumit, kombinasi variable di logic function yang diperoleh dari hasil table kebenaran biasanya pun cukup banyak.

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi Rangkaian Logika Optimal: dan Strategi Minimisasi Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8. Kompetensi Memahami hukum aljabar oolean termasuk hukum De Morgan, dan prinsip Sum of Product. Sub Kompetensi 1. Memahami penerapan

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Prosiding Seminar Nasional Matematika dan Terapannya 2016 p-issn : 2550-038; e-issn : 2550-0392 REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Wulan Cahyani Jurusan Matematika, Fakultas Matematika dan Ilmu Pengetahuan

Lebih terperinci

Kuliah#5 TKC205 Sistem Digital. Eko Didik Widianto

Kuliah#5 TKC205 Sistem Digital. Eko Didik Widianto & & Kuliah#5 TKC205 Sistem Digital Eko Didik Departemen Teknik Sistem Komputer, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Umpan Balik & Sebelumnya dibahas tentang: penyederhanaan

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah

PETA KARNAUGH 3.1 Peta Karnaugh Untuk Dua Peubah 3 PETA KARNAUGH Telah ditunjukkan di bab sebelumnya bahwa penyederhanaan fungsi Boole secara aljabar cukup membosankan dan hasilnya dapat berbeda dari satu orang ke orang lain, tergantung dari kelincahan

Lebih terperinci

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#4 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Logika Logika Kuliah#4 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang implementasi fungsi logika menjadi suatu rangkaian

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan Logika Matematika Bab 1: Aljabar Boolean Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan 1 Nilai fungsi Fungsi Boolean dinyatakan nilainya pada setiap variabel yaitu

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Kuliah#4 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#4 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Logika Logika Kuliah#4 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang implementasi fungsi

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari II. TINJAUAN PUSTAKA Definisi 2.1 Graf Graf G adalah suatu struktur (V,E) dengan V(G) himpunan tak kosong dengan elemenelemenya disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

BAB IV PETA KARNAUGH (KARNAUGH MAPS)

BAB IV PETA KARNAUGH (KARNAUGH MAPS) TEKNIK DIGITAL-PETA KARNAUGH/HAL. 1 BAB IV PETA KARNAUGH (KARNAUGH MAPS) PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

=== BENTUK KANONIK DAN BENTUK BAKU ===

=== BENTUK KANONIK DAN BENTUK BAKU === TEKNIK DIGITL === ENTUK KNONIK DN ENTUK KU === entuk Kanonik yaitu Fungsi oolean yang iekspresikan alam bentuk SOP atau POS engan minterm atau maxterm mempunyai literal yang lengkap. entuk aku yaitu Fungsi

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

BAB 4. Aljabar Boolean

BAB 4. Aljabar Boolean BAB 4 Aljabar Boolean 1. PENDAHULUAN Aljabar Boolean merupakan lanjutan dari matakuliah logika matematika. Definisi aljabar boolean adalah suatu jenis manipulasi nilai-nilai logika secara aljabar. Contoh

Lebih terperinci

Aplikasi Aljabar Boolean dalam Komparator Digital

Aplikasi Aljabar Boolean dalam Komparator Digital Aplikasi Aljabar Boolean dalam Komparator Digital Ade Yusuf Rahardian / 13514079 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10 Bandung

Lebih terperinci

BAB VI ENCODER DAN DECODER

BAB VI ENCODER DAN DECODER BAB VI ENCODER DAN DECODER 6.1. TUJUAN EKSPERIMEN Memahami prinsip kerja dari rangkaian Encoder Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Memahami prinsip kerja dari rangkaian Decoder

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

GERBANG LOGIKA RINI DWI PUSPITA

GERBANG LOGIKA RINI DWI PUSPITA SMKN 3 BUDURN GERBNG LOGIK RINI DWI PUSPIT 207 J L. J E N G G O L O C S I D O R J O 0 BB I PENDHULUN. Deskripsi Relasi logik dan fungsi gerbang dasar merupakan salah satu kompetensi dasar dari mata pelajaran

Lebih terperinci

BAB 10. DESAIN RANGKAIAN BERURUT

BAB 10. DESAIN RANGKAIAN BERURUT BAB 10. DESAIN RANGKAIAN BERURUT 2 DESAIN PENCACAH NILAI SPESIFIKASI : X=1 cacahan naik 2, z= 1 jika cacahan > 5 X=0 cacahan turun 1, z= 1 jika cacahan < 0 mesin Mealy 3 0 DESAIN PENCACAH NILAI 1/1 1/0

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

KARNAUGH MAP (K-MAP) (I)

KARNAUGH MAP (K-MAP) (I) KARNAUGH MAP (K-MAP) (I) Pokok ahasan : K-map 2 variabel K-map 3 variabel K-map 4 variabel Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami cara membuat k-map 2, 3, dan 4 bariabel

Lebih terperinci

KARNAUGH MAP (K-MAP) (I)

KARNAUGH MAP (K-MAP) (I) KARNAUGH MAP (K-MAP) (I) Pokok ahasan : K-map K-map K-map 2 3 4 variabel variabel variabel Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami cara membuat k-map 2, 3, dan 4 bariabel

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka.

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka. A. TUJUAN : FAKULTAS TEKNIK Semester 5 LOGIKA KOMBINASIONAL 2 4 5 No. LST/EKA/PTE23 Revisi : Tgl : 7-2-2 Hal dari 22 Setelah selesai pembelajaran diharapkan mahasiswa dapat. Menjelaskan kembali prinsip-prinsip

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Aldy Wirawan 13511035 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Sum-of-Product (SOP) Diturunkan dari tabel kebenaran untuk fungsi dengan mempertimbangkan baris

Lebih terperinci

TI 2013 IE-204 Elektronika Industri & Otomasi UKM

TI 2013 IE-204 Elektronika Industri & Otomasi UKM TI 23 IE-24 Elektronika Industri & Otomasi UKM Lampiran C Aljabar Boolean Tupel Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan ang didefinisikan pada operaror +,,

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

Meminimalkan menggunakan K-Map. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Meminimalkan menggunakan K-Map. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Meminimalkan menggunakan K-Map Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Meminimkan ungkapan SOP # A B C F 0 0 0 0 0 1 0 0 1 1 2 0 1 0 1 3 0 1 1 0 4 1 0 0 0 5 1 0 1 1 6 1 1 0 0 7 1 1 1 1 Terkait dengan

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

Review Kuliah. Peta Karnaugh. Recall:Penyederhanaan. Peta Karnaugh

Review Kuliah. Peta Karnaugh. Recall:Penyederhanaan. Peta Karnaugh Review Kuliah Sebelumnya dibahas sintesis rangkaian logika dari deskripsi kebutuhan fungsinya berupa tabel kebenaran, diagram pewaktuan Rangkaian Logika Optimal: dan Strategi Minimisasi Eko Didik Widianto

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

BAB V DISAIN RANGKAIAN LOGIKA

BAB V DISAIN RANGKAIAN LOGIKA V DISIN RNGKIN LOGIK Pada ab ini akan dipelajari prosedur-prosedur dasar yang digunakan dalam mendesain rangkaian-rangkaian logika apabila persyaratan-persyaratan yang diinginkan diberikan. Persyaratan-persyaratan

Lebih terperinci

Pengembangan Aplikasi Penyederhanaan Aljabar Boolean dalam Bentuk Sum-Of-Product dengan Menggunakan Metode Quine Mccluskey

Pengembangan Aplikasi Penyederhanaan Aljabar Boolean dalam Bentuk Sum-Of-Product dengan Menggunakan Metode Quine Mccluskey Pengembangan Aplikasi Penyederhanaan Aljabar Boolean dalam Bentuk Sum-Of-Product dengan Menggunakan Metode Quine Mccluskey 1 Wamiliana, 2 Ossy Dwi Endah dan 3 Shara Siti Zahroh 1 Jurusan Matematika FMIPA

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu,

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum ada proses penyederhanaan fungsi, beberapa kalangan seperti mahasiswa, dosen, bahkan ilmuwan yang bergerak dibidang matematik dan informatika merasa kesulitan

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

Gerbang gerbang Logika -5-

Gerbang gerbang Logika -5- Sistem Digital Gerbang gerbang Logika -5- Missa Lamsani Hal 1 Gerbang Logika 3 gerbang dasar adalah : AND OR NOT 4 gerbang turunan adalah : NAND NOR XOR XNOR Missa Lamsani Hal 2 Gerbang NAND (Not-AND)

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom K-Map Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S Teknik Informatika Fakultas Informatika Universitas Telkom September 205 Peta Karnaugh (K-Map) () Sistem dan Logika Digital/205

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

Review Sistem Digital : Logika Kombinasional

Review Sistem Digital : Logika Kombinasional JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Logika Kombinasional S dan D3 Mata Kuliah : Elektronika Industri 2 5 Lembar Kerja 2. Jaringan Pensaklaran (Switching

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya MA Modul Durasi : Teknologi Digital (61B023) : I / Karakteristik IC TTL dan Penyederhanaan Logika : 165 menit (1 sesi) PENDAHULUAN Teknologi elektronika telah berkembang sangat cepat sehingga hampir semua

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

Mata Kuliah TKE 113. Ir. Pernantin Tarigan, M.Sc Fahmi, S.T, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU

Mata Kuliah TKE 113. Ir. Pernantin Tarigan, M.Sc Fahmi, S.T, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU Mata Kuliah Dasar Teknik Digital TKE 113 10. DESAIN RANGKAIAN BERURUT Ir. Pernantin Tarigan, M.Sc Departemen Teknik Elektro Universitas Sumatera Utara USU 2006 Desain Pencacah Nilai, spesifikasi: i X=1

Lebih terperinci

D/SA/N JAR/NGAN KOMB/NAS/ONAL

D/SA/N JAR/NGAN KOMB/NAS/ONAL D/SA/N JAR/NGAN KOMB/NAS/ONAL TUJUAN 1. Mendisain jaringan NAND atau NOR output ganda. 2. Menguji disain anda dengan menggunakan simulator logika atau dengan membangunnya dalam leboratorium. PETUNJUKBELAJAR

Lebih terperinci

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam 2.1 Definisi Aljabar Boolean Aljabar Boolean dapat didefinisikan secara abstrak dalam beberapa cara. Cara yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya dan operasi operasi yang

Lebih terperinci

Karnaugh MAP (Bagian 1)

Karnaugh MAP (Bagian 1) Tahun kademik 2015/2016 Semester I DIG13 Konfigurasi Perangkat Keras Komputer Karnaugh MP (agian 1) Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

Metode Quine McKluskey dan Program Bantu Komputer

Metode Quine McKluskey dan Program Bantu Komputer Quine Quine Program Bantu Kuliah#5 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Penyederhanaan Persamaan Logika Quine Perancangan rangkaian

Lebih terperinci

Rangkaian Multilevel

Rangkaian Multilevel Quine Quine Kuliah#5 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Quine Sebelumnya dibahas tentang optimasi rangkaian dengan penyederhanaan

Lebih terperinci

TABULASI QUINE-McCLUSKEY

TABULASI QUINE-McCLUSKEY 4 TABULASI QUINE-McCLUSKEY Untuk fungsi-fungsi dengan cacah peubah yang lebih besar dari 6, terlebih untuk sistem dengan keluaran ganda (MIMO, Multiple Input Multiple Output) di mana beberapa keluaran

Lebih terperinci