Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

dokumen-dokumen yang mirip
BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

BAHASA PEMROGRAMAN VHDL

Percobaan IV PENGENALAN VHDL

QUARTUS DAN CARA PENGGUNAANNYA

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

MODUL 3 GERBANG LOGIKA DASAR

LAB #1 DASAR RANGKAIAN DIGITAL

Pengenalan VHDL. [Pengenalan VHDL]

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

BAB IV : RANGKAIAN LOGIKA

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Pengenalan FPGA oleh Iman Taufik Akbar

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

BAB 2 GERBANG LOGIKA & ALJABAR BOOLE

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan

BAB III ANALISIS DAN PERANCANGAN

GERBANG LOGIKA DASAR

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Tabel kebenaran untuk dua masukan (input) Y = AB + AB A B Y

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

GERBANG LOGIKA. Percobaan 1. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Tujuan :

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

MATERI PELATIHAN VHDL UNTUK SINTESIS

BAB 3. Perancangan Sistem

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA)

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

MODUL 4 GERBANG LOGIKA KOMBINASIONAL

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

GERBANG LOGIKA RINI DWI PUSPITA

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

BAB V RANGKAIAN ARIMATIKA

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

LAPORAN PRAKTIKUM DIGITAL

DIG 04 RANGKAIAN PENJUMLAH

BAB 1. KONSEP DASAR DIGITAL

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu

BAB V UNTAI NALAR KOMBINATORIAL

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner).

Percobaan 9 Gerbang Gerbang Logika

Memulai Simulink. Memulai Simulink. Membuat Model Baru. Untuk memulai Simulink dan membuka library milik Simulink :

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

BAB V OUTPUT FORMING LOGIC

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

RANGKAIAN LOGIKA DISKRIT

PENDAHULUAN SISTEM DIGITAL

RANGKAIAN PEMBANDING DAN PENJUMLAH

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN

GERBANG LOGIKA & SISTEM BILANGAN

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika.

SISTEM DIGITAL 1. PENDAHULUAN

Aljabar Boolean dan Gerbang Logika Dasar

PROGRAMMABLE LOGIC DEVICES

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang

MODUL 9 PENGENALAN SOFTWARE PROTEUS

DIAGRAM LADDER. Dr. Fatchul Arifin, MT

TUGAS 1 KARAKTERISTIK GERBANG LOGIKA 1

Rancangan Dan Pembuatan Storage Logic Analyzer

FPGA Field Programmable Gate Array

X = A Persamaan Fungsi Gambar 1. Operasi NOT

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto

Gambar 5(a).Tabel Kebenaran Full Adder

BAB IV HASIL DAN UJI COBA

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT

Aplikasi FPGA dalam Pengontrolan Ruangan

MODUL II GATE GATE LOGIKA

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin

Percobaan 3 RANGKAIAN PENJUMLAH BINER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL

BAB 4 IMPLEMENTASI DAN EVALUASI

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA

BAB III PERANCANGAN UIMEGA 8535

BAB III LANDASAN TEORI

Transkripsi:

3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan dari bilangan biner. Terdapat dua keadaan pada gerbang logika, yaitu 0 dan 1. Tegangan yang digunakan dalam gerbang logika adalah HIGH (1) dan LOW (0). Sistem digital yang paling kompleks seperti komputer besar disusun dari gerbang logika dasar seperti ND, OR, NOT dan gerbang kombinasi (turunan) yang disusun dari gerbang dasar tersebut seperti NND, NOR, EXOR, EXNOR. Gerbang Universal merupakan salah satu gerbang turunan yang dirangkai sehingga menghasilkan output yang sama dengan output dari gerbang dasar maupun gerbang turunan. dapun gerbang universal itu adalah NND dan NOR. Gerbang ND digunakan untuk menghasilkan logika 1 apabila semua masukan adalah berlogika 1. Gerbang OR digunakan untuk menghasilkan logika 1 apabila salah satu masukan berlogika 1. Gerbang not adalah gerbang inverter (pembalik). Output yang dihasilkan adalah kebalikan dari input yang diberikan. Gerbang logika turunan. Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. ND ND 0 0 0 0 1 0 1 0 0 1 1 1 OR OR 0 0 0 0 1 1 1 0 1 1 1 1 NOT NOT 0 1 1 0 1

NND NND 0 0 1 0 1 1 1 0 1 1 1 0 NOR NOR 0 0 1 0 1 0 1 0 0 1 1 0 XOR XOR 0 0 0 0 1 1 1 0 1 1 1 0 XNOR XNOR 0 0 1 0 1 0 1 0 0 1 1 1 Gambar 2 : Jenis Gerbang Logika dasar dan tabel kebenarannya 3.2 Rangkaian Terintegrasi Rangkaian terintegrasi adalah rangkaian aplikasi yang terbentuk dari berbagai macam gerbang logika. Rangkaian terintegrasi dapat merupakan kombinasi dari satu jenis gerbang logika atau lebih. Penyederhanaan rangkaian terintegrasi dapat menggunakan teorema aljabar boole dan atau peta karnough. Contoh : Half dder Half adder (penjumlah paruh) adalah untai logika yang keluarannya merupakan jumlah dari dua bit bilangan biner. 2

S C Gambar 3 : Half adder dengan 4 gerbang S C S =. +. = C =. Gambar 4 : Half adder dengan 2 gerbangn logika Tabel 1 : Tabel kebenaran half adder Input Output S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Ket : S = Sum, hasil jumlah C = Carry, sisa hasil jumlah Full dder Full adder (penjumlah penuh) adalah untai logika yang keluarannya merupakan jumlah dari tiga bit bilangan biner. C C S Gambar 5 : full adder 3

S = C C =. +.C +.C Tabel 2 : tabel kebenaran full adder Input Output C C S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 Rangkaian Full adder dapat juga dibangun dari dua buah rangkaian half adder, seperti yang terlihat pada gambar 6. Half adder Half adder S Cin Cout Gambar 6 : half adder dari 2 rangkaian 4. SIMULTOR XILINX Xilinx (Xilinx Foundation Series) adalah suatu perangkat lunak yang berguna untuk mendesain dan mensimulasikan suatu rangkaian digital. Xilinx dapat mendesain suatu alat atau rangkaian digital dan kemudian mensimulasikan rangkaian tersebut untuk melihat apakah rancangan yang buat sudah benar atau masih mengandung kesalahan. Sebenarnya tahapan atau proses pendesainan alat atau rangkaian digital dalam Xilinx sama seperti mendesain suatu rangkaian logika secara manual. Sebelum mulai mendesain di dalam Xilink minimal sudah harus mempunyai rencana rancangan state diagram atau truth-tables dari spesifikasi rangkaian atau alat yang ingin kita desain. Kalau kita tidak mempunyai rancangan tersebut, Xilink tidak dapat membantu kita dalam mendesain alat tersebut. 4

Untuk perancangan rangkaian digital, Xilink mempunyai tiga cara, yaitu dengan menggunakan State Diagram, Hardware Description Language (HDL) dan Schematic. Untuk HDL, Xilink dapat menggunakan dua bahasa pemrograman yaitu EL dan VHDL. Pada jurusan sistem komputer saat ini menggunakan Xilinx Foundation tools Skematik dan simulasi. da banyak hal yang dapat dipelajari dan manfaatkan dari program xilixn skematik dan simulasi ini, akan tetapi yang akan diterapkan di mata kuliah sistem digital mengenai : 1. memulai suatu projek atau rangkaian baru 2. menggambarkan skematik dari rangkaian digital 3. mensimulasikan rangkaian Langkah pertama dalam menjalankan program xilinx dengan membuka project manager. Klik ikon project manager yang ada pada desktop, seperti yang terlihat pada gambar 7. Gambar 7 : ikon project manager 4.1 Xilinx Projek Manager Project Manager adalah aplikasi utama yang berfungsi untuk mengatur dan mengintegrasikan semua aplikasi-aplikasi yang terdapat dalam Xilink Foundation Series. plikasi-aplikasi tersebut adalah Schematic Editor, HDL Entry, State Editor, Logic simulator dan external third-party programs seperti yang terlihat pada gambar 8. 5

Gambar 8 : Project manager Project manager window diatas dibagi menjadi tiga bagian utama : - hierarcy browser - project flowchart - message window Toolbar Toolbar terdiri dari tombol shortcuts yang berisi perintah-perintah yang paling sering digunakan. Status ar Status ar berguna untuk menampilkan informasi mengenai operasi-operasi yang sedang dijalankan dalam project manager window. Message Window Message Window menampilkan isi dari project log (semua perintah dan berita yang dikirim dan diterima oleh project manager). Hierarchy rowser Hierarchy rowser menampilkan struktur diagram pohon dari project document. Project document adalah file utama dari setiap project yang berisi mengenai deskripsi desain yang sedang dibuat, yang dapat berupa schematic, state diagram, atau HDL editor. Project Flowchart Project Flowchart adalah representasi grafik dari proses desain terjadi yang berguna untuk menunjukkan kepada pemakai langkah-langkah yang terjadi dalam suatu operasi. Walaupun hanya menjalankan salah satu aplikasi, misalnya State Editor, secara otomatis Project Manager ikut aktif pula. Jika sudah berada didalam Project Manager kita bisa 6

mulai mendesain rancangan rangkaian digital. lur kerja Project Manager adalah sebagai berikut: 1. merancang rangkaian digital dengan menggunakan salah satu dari HDL Entry, Schematic Editor atau State Editor atau menggunakan ketiganya. 2. Functional Simulator akan memeriksa kebenaran rangkaian, dan apabila terjadi kesalahan, rangkaian dapat di edit kembali sampai kesalahan yang ada diperbaiki semuanya. 3. Timing simulation dijalankan untuk melihat hasil rancangan rangkaian digital. 4. Foundation Implementation tools akan meng-compile hasil rangkaian yang telah dirancang dan disimulasikan menjadi bitstream yang sesuai dengan target device yang dipilih (XC9500 atau XC4005 ). 5. Setelah itu dapat dilihat jalannya program yang telah dibuat pada papan simulator XS95 atau XS40 yang dihubungkan melalui kabel pararel ke komputer. Untuk langkah 4 dan 5 tidak akan dibahas pada diktat ini, karena untuk melakukan langkah-langkah tersebut diperlukan alat tambahan ( XS95 atau XS40 ). 4.2 Mendisain Rangkaian digital Projek baru dapat dimulai klik File New project. Setelah itu akan mucul New Project window. Kemudian tuliskan nama project dan direktori tempat file projek akan disimpan, tipe, chip famili dan chip part number serta device speed. Seperti yang terlihat pada gambar 9 dengan nama projek baru PERC1ND dengan direktori C:\active\projects. Gambar 9 : New Project 7

Setelah klik OK, tampilan Project Manager akan terlihat seperti pada gambar 10. Gambar 10 : Projek PERC1ND Pada bagian selanjutnya akan dibahas mengenai schematic editor dan simulasi. 4.3 Schematic Editor Pilih Schematic Editor untuk mulai menggambar rangkaian digital dengan klik Schematic editor (gambar gerbang and) pada project manager, maka akan keluar window Schematic editor seperti pada gambar 11. Gambar 11 : Schematic editor Gambar 12 : SC Symbols 8

Untuk memulai menggambar suatu rangkaian, pertama klik library toolbox atau dengan klik Mode Symbols, sehingga akan muncul window SC Symbols seperti pada gambar 12. Kemudian kita dapat memilih gerbang yang kita inginkan dengan klik gerbang yang diinginkan pada SC Symbols. Pada contoh seperti pada gambar 13 menggunakan 2 gerbang ND. Gambar 13 : Schematic PERC1ND Gunakan hierarchical ports atau I/O terminal untuk input dan output yang terletak disebelah kiri toolbar. Pada gambar 13 diatas terdapat 3 input (, dan C) dan satu output (). Kemudian gunakan draw wires untuk menghubungkan rangkaian tersebut sehingga terbentuk seperti gambar 13. Setelah selesai membuat rangkaian, dilakukan pemerikasaan terhadap kebenaran rangkaian dengan memilih Options Create Netlist, kemudian pilih Options integrity Test. Jika pada rangkaian masih terdapat kesalahan maka Xilinx akan menampilkan kesalahan tersebut, sehingga perbaikan dapat dilakukan sampai tidak ditemukan kesalahan. Setelah rangkaian kita benar dilanjutkan dengan mengirim netlist yang sudah dibuat. Tujuan dari pengiriman netlist agar disain dapat dibaca oleh aplikasi Xilinx yang lain (seperti simulator). Langkah-langkahnya adalah dengan memilih Options Export Netlist. Export Netlist window akan muncul. Pilih Edit200[*.EDN] pada Netlist Format, setelah itu klik OK. Dari gambar rangkaian yang telah digambar juga dapat diperoleh HDL program (hanya tersedia pada VHDL). Caranya dengan memilih Options Export Netlist. Kemudian pilih vhdl [*.VHD] pada Netlist Format setelah itu klik OK. Dan sekarang 9

kita dapat melihat HDL program dari gambar rangkaian di schematic editor. erikut ini adalah listing program (dalam vhdl) : -- CTIVE-CD-2-VHDL, 2.5.4.34, Tue Sep 21 04:03:34 2004 LIRR IEEE; USE IEEE.std_logic_1164.all; library perc1and; use perc1and.glol_signls.all; entity PERC1ND is port ( : in std_logic; : in std_logic; C : in std_logic; : out std_logic ); end PERC1ND; architecture STRUCTURE of PERC1ND is --COMPONENTS component ND2 port ( I0 : in std_logic; I1 : in std_logic; O : out std_logic ); end component; --SIGNLS signal X36_NET00002_X95 : std_logic; begin --SIGNL SSIGNMENTS --COMPONENT INSTNCES X36_I1 : ND2 port map( I0 =>, I1 =>, O => X36_NET00002_X95 ); X36_I2 : ND2 port map( I0 => C, I1 => X36_NET00002_X95, O => ); end STRUCTURE; 4.4 Membaca HDL Program di HDL Editor Kita juga bisa membaca HDL Program yang dihasilkan oleh State Editor dan Schematic Editor rancangan kita di HDL Editor. Caranya adalah File Open 10

kemudian pilih EL files atau VHDL files pada Files of Type. Sekarang kita bisa membaca HDL program yang sudah kita buat pada HDL Editor. 4.5 Simulasi dengan Functional Simulation Setelah selesai membuat rancangan Schematic sekarang melihat bagaimana simulasi Timing Diagram yang dihasilkan oleh rancangan yang telah dibuat. Caranya adalah meng-klik SIM Funct button pada Project Window. Kemudian akan tampak Logic-Simulator Foundation window dan Waveform Viewer Window yang masih kosong (gambar 14). Gambar 14 : Waveform Viewer ang pertama kali harus kita lakukan adalah menambahkan input dan output pada Waveform Viewer supaya kita dapat melakukan simulasi. Caranya adalah pilih Signal dd Signals. Component Selection for Waveform Viewer window akan muncul. Kemudian pilihlah Input dan Output yang kita inginkan untuk disimulasikan. Setelah selesai pilh Close seperti yang terlihat pada gambar 15. Gambar 15 : Component Selection for Waveform Viewer 11

Setelah semua Input dan Output kita pilih, kita harus menambahkan Stimulator supaya rangkaian kita dapat disimulasikan. Caranya pilih Signal dd Stimulators. Stimulator Selection window akan muncul (gambar 16). Untuk input, dan C pilihlah bit stimulator clock seperti yang terlihat pada gambar 16. Sedangkan adalah keluaran atau output dari rangkaian. Gambar 16 : Stimulator Selection Window s Sekarang simulasikan rangkaian. Untuk itu pilih View Main Toolbox untuk menampilkan Simulator window. Setelah Simulator window muncul lakukan simulasi rangkaian dengan klik step atau long maka Timing Digram akan muncul. Dari Timing Diagram (gambar 17) terlihat bahwa rangkaian yang didisain sudah sesuai dengan rancangan yang diharapkan. Output () terlihat telah memberikan output yang benar yaitu apabila semua masukan bernilai 1 maka keluarannya bernilai 1 (lihat tabel kebenaran Gerbang ND). Gambar 17 : Timing Diagram 12

Untuk pembahasan sistem digital selanjutnya akan menggunakan simulator Xilinx. 4.6 Simulasi gerbang digital dasar 4.61 Gerbang ND adalah gerbang yang memberikan keluaran hanya bila semua masukan ada. Dengan kata lain gerbang ND merupakan gerbang semua atau tidak ada ; keluaran hanya terjadi bila semua masukan ada. Rancangan gerbang ND seperti yang telah dijelaskan pada pembahasan Xilinx Schematic pada bagian sebelumnya. Lihat Gambar 13 untuk schematic editor dan gambar 17 untuk simulasi rangkaian gerbang ND. 4.6.2 Gerbang OR adalah gerbang salah satu atau semua; keluaran terjadi bila salah satu atau semua masukan ada. Gerbang OR memberikan keluaran 1 bila salah satu masukan atau ke dua masukan adalah 1. Lihat gambar 18 dan 19. Gambar 18 : Schematic editor gerbang OR Gambar 19 : Simulasi gerbang OR 4.6.3 Gerbang NOT adalah gerbang logika yang memberikan keluaran tidak sama dengan masukannya. Gerbang NOT disebut juga inverter. Gerbang ini mempunyai sebuah masukan dan sebuah keluaran, yang dilakukannya hanyalah membalik sinyal masukan; jika masukan tinggi, keluaran adalah rendah, dan sebaliknya. Lihat gambar 20 dan 21. 13

Gambar 20 : Schematic editor gerbang NOT Gambar 21 : Simulasi gerbang NOT 5.6.4 Gerbang NND (NOT-ND) adalah gerbang ND yang diikuti gerbang NOT. Lihat gambar 22 dan 23. Gambar 22 : Schematic editor gerbang NND Gambar 23 : Simulasi gerbang NND 4.6.5 Gerbang NOR (NOT-OR) adalah gerbang OR yang diikuti gerbang NOT. Lihat gambar 24 dan 25. Gambar 24 : Schematic editor gerbang NOR 14

Gambar 25 : Simulasi gerbang NOR 4.6.6 Gerbang XOR Gerbang ini mempunyai dua masukan dan satu keluaran. XOR adalah nama lain dari OReksklusif. Disebut demikian sebab gerbang XOR memberikan keluaran 1 bila masukan pertama atau masukan kedua adalah 1, namun tidak kedua-duanya. Dengan kata lain, gerbang XOR mempunyai keluaran 1 hanya bila ke dua masukannya berbeda dan keluarannya 0 apabila ke dua masukannya sama. Lihat gambar 26 dan 27 yang memberikan contoh dua gerbang XOR. Gambar 26 : Schematic editor gerbang XOR Gambar 27 : Simulasi gerbang XOR 15