Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Ukuran: px
Mulai penontonan dengan halaman:

Download "Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB"

Transkripsi

1 MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi ( ) Asisten: Alfian Abdi / Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB Abstrak Pada era modern ini, pemodelan rangkaian digital tidak hanya dapat dilakukan secara manual, tetapi dapat didesain menggunakan IC dengan bantuan komputer. Salah satu teknik perancangan yang sering digunakan adalah FPGA dengan pendekatan skematik maupun bahasa VHDL. Pada kesempatan ini, kita akan mengimplementasikan rangkaian full-adder dengan target FPGA. Kata kunci: FPGA, full-adder, skematik, dan bahasa VHDL 2.1 FIELD PROGRAMMABLE GATE ARRAY (FPGA) FPGA adalah sebuah integrated circuit yang dapat dikonfigurasi dan diprogram berkali-kali. FPGA terdiri atas logika yang dapat diprogram, yang disebut dengan blok logika (logic blocks),[5]. 1. PENDAHULUAN Perancangan fungsi logika dapat dilakukan dengan setidaknya dua cara, yaitu secara manual maupun digital. Perancangan digital dapat dilakukan di berbagai macam target, salah satunya adalah FPGA. FPGA, atau dikenal juga sebagai field-programmable gate array, merupakan sebuah devais yang dapat mengimplementasikan fungsi logika berulang kali. Pada percobaan ini, perancangan rangkaian dibantu dengan dua piranti lunak tambahan, yaitu ALTERA QUARTUS II dan ModelSim. Ada sedikitnya dua cara dalam melakukan perancangan digital, yaitu menggunakan bahasa VHDL maupun pendekatan secara skematik. Dengan mendesain rangkaian full-adder, 4-bit ripple carry adder, maupun 4-bit adder menggunakan berbagi metoda, diharapkan dasar-dasar perancangan rangkaian digital dapat dikuasai dengan baik. 2. STUDI PUSTAKA Cara tradisional dalam mengimplementasikan fungsi logika adalah dengan menggunakan rangkaian CMOS / TTL. Seiring perkembangan zaman, penggunaan chip yang dapat diprogram secara digital pun bermunculan dan disebut juga Programmable Logic Devices (PLDs), [3]. Salah satu teknik perancangan rangkaian digital untuk mengimplementasikan fungsi logika adalah dengan menggunakan FPGA. Gambar 2-1 Core dari FPGA Stratix IV ALTERA Setiap pin masukkan dari FPGA memiliki fungsionalitasnya sendiri dan secara umum, setiap gerbang logika yang dimiliki oleh FPGA mampu menyimpan baik logika HIGH dan logika LOW dalam komponen logika yang disebut dengan Look-Up Table (LUT),[1]. 2.2 ALTERA UP2 EDUCATION KIT Pada percobaan ini, salah satu board yang digunakan dalam laboratorium adalah ALTERA UP2 Education Kit. IC ini termasuk dalam kelas (Family) FLEX10K,[7]. Gambar 2-2 IC ALTERA UP2 Pin-pin yang nantinya akan digunakan pada percobaan ini terangkum dalam tabel berikut: Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2 Tabel 2-1 Referensi Kaki UP2 Berikut ini adalah tabel kebenaran dari Full adder: Nama PIN Kaki yang digunakan UP2 Tabel 2-2 Tabel Kebenaran Full Adder A PIN_41 Masukkan Keluaran B C A_OUT B_OUT C_OUT CARRY SUM PIN_40 PIN_39 PIN_6 PIN_13 PIN_9 PIN_24 PIN_17 A B C In C Out S FULL ADDER Seperti penjumlahan dalam basis desimal, kita dapat mengoperasikan penjumlahan dalam basis biner menggunakan gerbang logika, yaitu dengan mengimplementasikan full adder dalam rangkaian kita. Full adder bekerja dengan prinsip Sum dan C out. Perhatikan rangkaian berikut ini,[9]: Gambar 2-3 Rangkaian Full Adder Full adder menerima 3 buah masukkan, biasanya disebut dengan A,B, dan C in. Nilai total dari full adder (sum) didefinisikan sebagai 2 kali nilai C out ditambah dengan nilai S ( sum = 2 x C out + S) Selain adder yang disebutkan diatas, terdapat beberapa jenis adder lainnya seperti half-adder, ripple-carry adder, carry-lookahead adder, dan lookahead carry unit. 2.4 BAHASA VHDL VHDL (Very-high-speed integrated circuits Hardware Description Language) adalah bahasa pemrograman perangkat keras yang digunakan untuk memodifikasi sistem logika dalam FPGA ataupun IC. VHDL merupakan pengembangkan dari bahasa prosedural seperti ADA maupun PASCAL, yang kemudian dikembangkan untuk tujuan spesifik,[6]. Salah satu keuntungan menggunakan bahasa VHDL adalah implementasinya yang sederhana dan lebih compact dibandingkan pendekatan skematik. VHDL sendiri dapat dibuat dan disimulasikan pada ALTERA QUARTUS II maupun ModelSim. 3. METODOLOGI Percobaan ini akan dibagi menjadi delapan bagian. Secara garis besar, perancangan rangkaian digital menggunakan FPGA dapat menggunakan flowchart berikut: Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 2

3 Gambar 3-3 Bahasa VHDL untuk Percobaan 2B Kode tersebut kemudian dikompilasi dan seperti percobaan 2A, pendekatan tersebut diimplementasikan pada Board FPGA tipe UP-2. Pada percobaan 2C, 4-bit ripple carry adder akan diimplementasikan menggunakan bahasa VHDL. Berikut ini adalah kode VHDL untuk percobaan 2C: Gambar 3-2 Flowchart Proses Perancangan Digital Pada percobaan 2A, kita akan mendesain rangkaian full-adder dengan pendekatan skematik. Pendesainan ini akan dibantu dengan piranti lunak ALTERA QUARTUS II. Berikut adalah rancangan desain dari percobaan ini: Gambar 3-4 Bahasa VHDL untuk Percobaan 2C Setelah kita menggunakan pendekatan dengan VHDL, pada percobaan 2D, kita akan menggunakan pendekatan skematik. Kita dapat mensimulasikannya seperti pada proses percobaan 2A. Berikut ini adalah rancangan desain dari percobaan ini: Gambar 3-2 Gambar Skematik Percobaan 2A Rangkaian tersebut akan menerima 3 masukkan (A, B, Cin) dan memberikan 2 keluaran secara umumnya, yaitu SUM dan CARRY. Setelah proses simulasi menggunakan Netlist berjalan lancar, rangkaian tersebut diimplementasikan pada IC Board FPGA tipe UP-2. Percobaan 2B akan mendesain full-adder (seperti percobaan 2A) menggunakan bahasa VHDL. File yang dikodekan dalam modul2vhdl.vhd adalah sebagai berikut: Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 3

4 Gambar 3-5 Gambar Skematik Percobaan 2D Selain menggunakan ALTERA QUARTUS II, pemodelan rangkaian digital dapat disimulasikan menggunakan ModelSim. Pada percobaan 2E, kita akan mensimulasikan rangkaian full-adder dengan pendekatan bahasa VHDL. Berikut ini adalah kode VHDL yang akan digunakan: BEGIN --Instance module dut : modul2vhdl PORT MAP( A => A, B => B, Cin => Cin, S => S, Cout => Cout); --Stimulus generator clock_a : PROCESS Gambar 3-6 Bahasa VHDL untuk Percobaan 2E Stimulus dapat diberikan dengan Right Click -> Clock dengan memberikan nilai masukkan periode untuk sinyal A, B, dan C sebesar 50ps, 100ps, dan 200 ps secara terurut. Setelah itu, kita cukup mensimulasikannya dengan menu Tools -> Run atau dengan mengetikkan run[spasi](time). Ada tiga gambar sinyal yang akan disimulasikan, yaitu mode normal, no force, dan dengan force. Pada percobaan 2E, kita memberikan stimulus secara manual. Percobaan 2F ini akan menggunakan konsep testbench, dengan memberikan stimulan melalui kode VHDL. File VHDL yang kita buat akan berfungsi sebagai DUT, yaitu Design Under Test. Berikut ini adalah kode VHDL yang akan kita gunakan untuk memberikan stimulus pada percobaan ini: BEGIN WAIT FOR 50 ps; A <= not A; end PROCESS clock_a; clock_b : PROCESS BEGIN WAIT FOR 100 ps; B <= not B; end PROCESS clock_b; clock_c : PROCESS BEGIN WAIT FOR 200 ps; C <= not C; end PROCESS clock_c; END testbench; Setelah menggunakan stimulus diatas, kita akan mengganti stimulus dengan kode VHDL berikut ini: ENTITY tb_modul2vhdl IS END tb_modul2vhdl; ARCHITECTURE testbench OF tb_modul2vhdl IS COMPONENT modul2vhdl IS PORT (A,B,Cin : IN BIT; S,Cout : OUT BIT); END COMPONENT; SIGNAL A : BIT := '0'; SIGNAL B : BIT := '0'; SIGNAL Cin : BIT := '0'; SIGNAL S : BIT; Gambar 3-7 Stimulus untuk Percobaan 2F Setelah mensimulasikan fungsi logika dengan ModelSim, pada percobaan 2G, kita akan menggunakan ModelSim untuk melakukan proses tapping sinyal dari sebuah desain. Kita akan melakukan modifikasi pada file DUT dengan script berikut: SIGNAL Cout : BIT; Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 4

5 Gambar 3-8 Script Tapping Percobaan 2G Setelah mengetikkan script diatas, kita cukup mensimulasikan ulang seperti pada percobaan 2F. Jika proses-proses diatas dilakukan secara manual, kita dapat membuat script untuk menghindari proses yang sama berulang kali. Pada percobaan 2H, kita akan membuat file bernama sim.do dengan spesifikasi script sebagai berikut: Gambar 3-11 Script file file.do Percobaan 2H 4. HASIL DAN ANALISIS 4.1 MENDESAIN FULL ADDER DENGAN SKEMATIK Pada percobaan ini digunakan ALTERA QUARTUS II dan board UP2 dengan pendekatan skematik. Dengan menggunakan overwrite clock masukkan A sebesar 10 ns, B sebesar 20 ns, dan C in sebesar 40 ns, maka ditampilkan hasil simulasi seperti gambar 4-1 berikut: Gambar 3-9 Script file sim.do Percobaan 2H Hal selanjutnya yang perlu kita lakukan adalah mensimulasikannya dengan mengetikkan do sim.do. Seperti percobaan 2F, kita akan menguji script diatas dengan melakukan pengaturan pada preferensi clock. Lalu kita akan mendapatkan kode berikut ini: Gambar 4-1 Simulasi Percobaan 2A pada QUARTUS II Gambar 3-10 Stimulus Percobaan 2H Selanjutnya kita akan mensimulasikan testbench yang telah dibuat sebelumnya menggunakan script file.do. Berikut ini adalah kode yang digunakan dalam pembuatan file file.do: Tabel 4-1 Tabel Kebenaran Full Adder Percobaan 2A Masukkan Keluaran A B C In C Out S Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 5

6 Hasil yang ditunjukkan pada tabel 4-1 diatas sesuai dengan tabel kebenaran full adder referensi pada tabel 2-2. Hal selanjutnya yang akan dilakukan adalah mengimplementasikan pendekatan skematik ini ke FPGA. Gambar 4-2 berikut ini adalah hasil implementasi pada board UP2: Gambar 4-3 Simulasi Percobaan 2B Tabel 4-2 Tabel Kebenaran Full Adder Percobaan 2B Masukkan Keluaran A B C In C Out S Gambar 4-2 Simulasi Percobaan 2A pada FPGA Gambar diatas menunjukkan IC diberikan masukkan A dan C in, sehingga logika S bernilai 0 dan logika C Out bernilai 1. Hasil percobaan ini membuktikan logika full adder berjalan dengan baik di board UP2 yang digunakan. 4.2 MENDESAIN FULL ADDER DENGAN PENDEKATAN BAHASA VHDL Pada percobaan ini, kita akan menggunakan implementasi bahasa VHDL dalam board yang digunakan. Simulasi yang dilakukan menggunakan bahasa VHDL menghasilkan wave seperti gambar 4-3 berikut: Hasil simulasi diatas menunjukkan kesamaan antara keluaran menggunakan pendekatan skematik dan bahasa VHDL. Hal ini menunjukkan bahwa kita dapat menggunakan pendekatan skematik maupun bahasa VHDL dalam melakukan implementasi pada board FPGA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 6

7 Gambar 4-4 Simulasi Percobaan 2B pada FPGA Gambar diatas menunjukkan IC FPGA diberikan masukkan A, B, dan C in sehingga menghasilkan logika S dan C out bernilai 1. Dari dua percobaan diatas, kita dapat menganalisis kelebihan dan kerugian dari dua pendekatan tersebut. Pendekatan VHDL lebih sederhana untuk digunakan, karena apabila kita ingin mengimplementasikan rangkaian yang kompleks seperti membuat sebuah rangkaian berukuran besar secara manual, maka faktor resiko galat menggunakan pendekatan skematik akan meningkat. Disisi lain, bahasa VHDL memerlukan pembelajaran bahasa terlebih dahulu, sehingga untuk rangkaian-rangkaian sederhana, pendekatan skematik lebih mudah digunakan dan lebih user-friendly. 4.3 MENDESAIN 4-BIT RIPPLE CARRY ADDER DENGAN VHDL 4-Bit ripple carry adder menerima masukkan A dan B 4 bit, serta C in 1 bit dan mengembalikan hasil berupa Sum 4 bit dan C out 1 bit. A0, A1, A2, dan A3 dibuat menjadi satu grup (misalkan A), sedangkan B0, B1, B2, dan B3 dibuat menjadi satu grup pula (misalkan B). Perhatikan hasil waveform berikut ini (gambar 4-5): Hasil keluaran dari 4-Bit ripple carry adder diatas sesuai dengan definisi referensi. Sebagai contoh, saat A = 1111, B = 1111, dan C in = 0, maka nilai penjumlahan A + B + C In dalam basis 2 adalah Perhatikan pada tabel diatas bahwa untuk nilai A,B, C In yang diberikan, menghasilkan C out = 1 dan S = 1110 (A + B + C In =C out * Sum). 4.4 MENDESAIN 4-BIT ADDER DENGAN SKEMATIK Setelah sebelumnya kita menggunakan pendekatan menggunakan bahasa VHDL, pada percobaan ini kita akan menggunakan pendekatan skematik. Berikut ini adalah hasil waveform menggunakan pendekatan skematik (gambar 4-6): Gambar 4-5 Simulasi Percobaan 2C pada QUARTUS II Perhatikan bahwa waveform diatas akan membentuk tabel kebenaran sebagai berikut: Tabel 4-3 Tabel Kebenaran 4-Bit Ripple Carry Adder Gambar 4-6 Simulasi Percobaan 2D pada QUARTUS II Hasil waveform diatas dapat ditranslasikan kedalam tabel kebenaran sebagai berikut: Tabel 4-4 Tabel Kebenaran 4-Bit Adder Dengan Skematik Masukkan Keluaran Masukkan Keluaran A B C In C Out S A B C In C Out S Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 7

8 Perhatikan bahwa tabel kebenaran 4-4 identik dengan hasil tabel kebenaran 4-3 (menggunakan bahasa VHDL). Hal ini menunjukkan bahwa kita dapat menggunakan baik pendekatan skematik maupun bahasa VHDL dalam merancang rangkaian digital seperti 4-Bit carry adder. 4.5 SIMULASI SEDERHANA MENGGUNAKAN MODELISM Setelah sebelumnya kita mensimulasikan rangkaian digital menggunakan ALTERA QUARTUS II, berikut ini adalah hasil simulasi menggunakan piranti lunak ModelSim: Gambar 4-8 Force Value B Menjadi 1 pada ModelSim Perhatikan gambar 4-8 diatas. Gambar tersebut merupakan representasi hasil ketika value B diberikan force agar selalu bernilai 1. Perintah force berfungsi untuk memaksakan agar masukkan input selalu bernilai logika tertentu (1 / 0). 4.6 MEMBUAT TESTBENCH Salah satu kelebihan ModelSim dibandingkan ALTERA QUARTUS II adalah simulasi rangkaian mengunakan testbench. Perhatikan gambar 4-9 berikut ini: Gambar 4-7 Simulasi Percobaan 2E pada ModelSim Hasil waveform diatas didapatkan dengan mengatur clock dari masukkan (A,B,C in) menjadi masing-masing 50ps, 100ps, dan 200ps. Stimulus yang diberikan memberikan hasil waveform yang berlogika sama dengan menggunakan ALTERA QUARTUS II. Hal selanjutnya yang diuji pada bagian ini adalah menggunakan perintah (command) No Force. Perintah tersebut berfungsi untuk membatalkan (undo) dari perintah force yang diberikan sebelumnya. Pada kondisi default, perintah ini akan memberikan hasil keluaran 0. Gambar 4-9 Stimulus Generator pada ModelSim Perintah (command) clock yang semula menggunakan cara Right Click -> Clock dapat digantikan dengan testbench (Design Under Test / DUT). Gambar 4-9 menunjukkan hasil keluaran waveform menggunakan stimulus generator. Amati potongan kode berikut ini: clock_a : PROCESS BEGIN WAIT FOR 50 ps; A <= not A; end PROCESS clock_a; Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 8

9 Perintah tersebut menunjukkan bahwa setiap 50ps, nilai logika A akan di-invert. Ketika logika A bernilai 0, maka setelah 50ps, logika A akan bernilai 1 dan berlaku sebaliknya. Selain cara tersebut, kita dapat memaksakan suatu value logika tertentu pada masukkan. Perhatikan contoh berikut ini (gambar 4-10): bernilai 1 dan logika B bernilai 0, maka logika Temp bernilai 1. Hal ini menunjukkan bahwa hasil waveform keluaran sesuai dengan definisi operasi XOR pada referensi. 4.8 MEMBUAT SCRIPT UNTUK MELAKUKAN SIMULASI Keunggulan lain dari piranti lunak ModelSim adalah penggunaan script untuk menjalankan proses yang sama berulang kali. Script file ini memiliki ekstensi.do, yang berisikan sekumpulan instruksi untuk melakukan sesuatu. Dengan mengetikkan stimulus generator pada script yang digunakan (Gambar 3-10), maka simulasi dapat di eksekusi tanpa perlu menunggu masukkan manual. Perhatikan hasil perintah do sim.do berikut ini (gambar 4-12): Gambar 4-10 Stimulus : PROCESS pada ModelSim WAIT FOR 50 ps; A <= 1 ; Potongan kode diatas menunjukkan, setelah 50ps, maka logika A akan selalu bernilai 1. Hal yang sama berlaku untuk logika B yang di inisialisasi 100ps serta logika C yang di inisialisasi 200ps. Dari dua contoh berbeda diatas, maka kita dapat mengkombinasikan kemungkinan-kemungkinan logika yang kita inginkan. 4.7 MELAKUKAN PROSES TAPPING SINYAL DARI SEBUAH DESAIN Proses tapping sinyal adalah sebuah proses pengambilan nilai sinyal yang bukan merupakan bagian dari keluaran sistem rangkaian digital yang diuji. Pada percobaan ini, kita misalkan sinyal tersebut adalah Temp, yang bernilai logika A XOR B. Berikut ini adalah hasil waveform dari simulasi yang dijalankan (gambar 4-11): Gambar 4-12 Simulasi script file sim.do Perintah yang kita jalankan ini sama seperti menjalankan manual file modul2vhdl seperti pada percobaan 2E (Gambar 4-7). Gambar 4-11 Proses Tapping pada ModelSim Dari waveform tersebut, kita dapat menganalisis kebenaran dari A XOR B. Perhatikan bahwa saat logika A bernilai 0 dan logika B bernilai 0, maka logika Temp bernilai 0. Dilain waktu, saat logika A Gambar 4-13 Simulasi script file file.do Selain itu, script juga dapat mensimulasikan testbench / DUT yang digunakan dalam percobaan. Hasil waveform gambar 4-13 menunjukan eksekusi run 500 pada file tb_modul2vhdl yang telah kita buat sebelumnya. 5. KESIMPULAN Terdapat dua pilihan piranti lunak yang dapat digunakan, yaitu ALTERA QUARTUS II (Percobaan 2A 2D) dan ModelSim (Percobaan 2E- Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 9

10 2H). ALTERA QUARTUS II dan ModelSim memiliki kelebihan dan kekurangan masingmasing. Dari segi penggunaan, ALTERA QUARTUS II lebih spesifik untuk pemrosesan dengan FPGA secara langsung sedangkan ModelSim digunakan untuk simulasi lokal tanpa perangkat IC,[8]. Keuntungan dari ModelSim adalah kita dapat menggunakan testbench, yaitu sebuah file yang digunakan untuk menguji desain (Device Under Test / DUT) yang telah kita buat. Selain itu, ModelSim dapat menggunakan dan membuat script, sehingga prosedur simulasi yang berulangulang dapat disingkat dan diproses lebih cepat. Kita dapat memberikan stimulus melalui bahasa VHDL sehingga kita tidak perlu memasukkan input secara manual satu-persatu. Sedangkan keuntungan dari ALTERA QUARTUS II adalah integrasinya dengan FPGA yang lebih user-friendly dan mudah digunakan. Selain itu, kita dapat menggunakan pendekatan secara skematik ketika ModelSim harus menggunakan bahasa VHDL (file skematik harus di convert terlebih dahulu). Dari pertimbangan diatas, dapat disimpulkan bahwa ModelSim lebih baik digunakan dalam proses pembelajaran karena lebih compact dan dapat memproses stimulus tanpa membutuhkan interferensi manual dari pengguna. Secara umum, perangkaian secara digital dapat dilakukan dengan dua pendekatan, baik secara skematik (Percobaan 2A & 2D), maupun menggunakan bahasa seperti bahasa VHDL (Percobaan 2B, 2C, 2E, 2F, 2G & 2H). Rangkaian Full adder dapat diimplementasikan dengan berbagai cara (seperti cara diatas). Fungsi utama dari rangkaian ini adalah untuk menjumlahkan bilangan biner menggunakan fungsi gerbang logika. Ada beberapa jenis rangkaian adder seperti Full adder (Percobaan 2B), maupun Ripple carry adder (Percobaan 2C). [4] Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design Third Edition, hal , McGraw-Hill, San Francisco, 2009 [5] 3 Oktober 2012, pukul 2:16:24 [6] 3 Oktober 2012, pukul 2:40:15 [7] rials/boards/unv-up2- board.html?gsa_pos=1&wt.oss_r=1&wt. oss=up2, 3 Oktober 2012, pukul 2:37:10 [8] read.php?t=1066, 3 Oktober 2012, pukul 3:10:12 [9] NIy45k3TuEE/TkouUTvUOZI/AAAAAA AAAG8/SQiB48Yi_UQ/s1600/550px-Fulladder.png, 3 Oktober 2012, pukul 2:51:30 DAFTAR PUSTAKA [1] Brian Holdsworth and Clive Woods, Digital Logic Design Fourth Edition, N.wnes, 2002 [2] Fairchild Semiconductor, DM74LS04 Hex Inverting Gates, Fairchild Semiconductor Corporation, 1998 [3] Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design Third Edition, hal 98, McGraw-Hill, San Francisco, 2009 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 0

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER Nama Asisten: Yulian Aska NIM: 329 /Mhs S EL-STEI ITB Waktu Pengerjaan Breadboarding: 3/4/23 sampai /4/23 Waktu Pengerjaan pada : 4/6/23 sampai 5/6/23 Laboratorium

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano A-51 Ainun Jariyah, Suwadi, dan Gamantyo Hendrantoro Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

Rancangan Dan Pembuatan Storage Logic Analyzer

Rancangan Dan Pembuatan Storage Logic Analyzer Rancangan Dan Pembuatan Storage Logic Analyzer M. Ulinuha Puja D. S.,Pembimbing 1:Waru Djuriatno, Pembimbing 2:Moch. Rif an Abstrak Teknologi yang berkembang pesat saat ini telah mendorong percepatan di

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 10 JUDUL PERCOBAAN : Half / Full Adder, Adder Subtractor KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

PARAMETER GERBANG LOGIKA

PARAMETER GERBANG LOGIKA PARAMETER GERBANG LOGIKA Praktikan: Muhammad Abdul Jabbaar (13508072) Asisten: M. Ashr Sayuti Waktu Percobaan: 2 September 2010 EL2195 Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

MODUL II GATE GATE LOGIKA

MODUL II GATE GATE LOGIKA MODUL II GTE GTE LOGIK I. Tujuan instruksional khusus. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NO. PERCOBAAN : 10 KELAS/GROUP : TT3A/08 NAMA PRAKTIKAN : ADE ZASKIATUN NABILA NAMA PARTNER : -SEVTHIA NUGRAHA -SOCRATES PUTRA N TGL PERCOBAAN : 3 OKTOBER 2016 TGL PENYERAHAN

Lebih terperinci

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Review Kuliah Di kuliah sebelumnya

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

DIG 04 RANGKAIAN PENJUMLAH

DIG 04 RANGKAIAN PENJUMLAH DIG 04 RNGKIN PENJUMLH 4.1. TUJUN PERCON Mahasiswa mengenal, mengerti, dan memahami : 1. Operasi penjumlahan tak lengkap. 2. Operasi penjumlahan lengkap. 3. Ragam IC penjumlah biner. 4. Operasi penjumlahan

Lebih terperinci

Jobsheet Praktikum PARALEL ADDER

Jobsheet Praktikum PARALEL ADDER 1 PARALEL ADDER A. Tujuan Kegiatan Praktikum 3-4 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

Praktikum Sistem Digital

Praktikum Sistem Digital PETUNJUK PRAKTIKUM Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro Dan Informatika Institut Teknologi Bandung 2013 Buku Petunjuk Praktikum Sistem Digital EL 2102 Mervin

Lebih terperinci

Kajian Bahasa Deskripsi Perangkat Keras

Kajian Bahasa Deskripsi Perangkat Keras Berkala Fisika ISSN : 1410-9662 Vol. 7, No. 2, April 2004, hal 55 61 Kajian Bahasa Deskripsi Perangkat Keras Catur Edi Widodo Laboratorium Instrumentasi & Elektronika Jurusan Fisika FMIPA Undip Abstrak

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 28 MODUL III GERBANG LOGIKA & RANGKAIAN KOMBINASIONAL Mata Pelajaran : Teknik Digital Kelas

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

Praktikum Sistem Digital

Praktikum Sistem Digital PETUNJUK PRAKTIKUM Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro Dan Informatika Institut Teknologi Bandung 2017 Buku Petunjuk Praktikum Sistem Digital EL 2102 Mervin

Lebih terperinci

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC Okthavianus Bayu Pamungkas *), Munawar Agus Riyadi, and Maman Somantri Departemen

Lebih terperinci

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A Arief Hendra Saptadi Jurusan Teknik Elektro Fakultas Teknik Universitas Muhammadiyah Semarang Jl. Kasipah no 10-12 Semarang

Lebih terperinci

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Aldy Wirawan 13511035 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

Rangkaian Adder dengan Seven Segment

Rangkaian Adder dengan Seven Segment Rangkaian Adder dengan Seven Segment Diajukan untuk memenuhi kelulusan mata kuliah Teknik Rangkaian Terintegrasi Dosen : Ni matul Ma muriyah, M.Eng Disusun oleh: Thursy Rienda Aulia Satriani (1221009)

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci