QUARTUS DAN CARA PENGGUNAANNYA

Ukuran: px
Mulai penontonan dengan halaman:

Download "QUARTUS DAN CARA PENGGUNAANNYA"

Transkripsi

1 QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi yaitu VHDL ataupun Verilog. Sebenarnya tidak hanya Quartus yang dapat digunakan untuk membuat simulasi rangkaian logika digital, namun ada beberapa software lain yang dapat digunakan, contohnya adalah Xilinx, Multisim, Model-Sim dan sebagainya. Quartus sendiri merupakan software yang dibuat oleh sebuah perusahaan yang bernama Altera (dapat diakses untuk infomasi lebih mendalam). Pada praktikum teknik digital ini software Quartus 2 versi 12.1 yang akan digunakan. Melalui Software Quartus ini, nantinya hasil pengkodean dapat diload ke dalam FPGA agar dapat dilihat hasilnya secara fisik atau real. Untuk proses load ke FPGA akan dijelaskan kedepannya. B. Interface Software Quartus Berikut interface software Quartus versi 12.1 yang akan digunakan dalam praktikum. Dalam interface diatas terdapat banyak tools yang dapat digunakan untuk membantu dalam pembuatan simulasi rangkaian logika yang ingin dibuat.

2 C. Cara Menggunakan Software Quartus a. Buka Software Quartus 2 versi 12.1 b. Membuat Project Baru i. Buat project baru dengan memilih file > New Project Wizard. ii. Klik Next.

3 iii. Pilih tempat penyimpanan project yang ingin dibuat dan tentukan nama project yang ingin dibuat, lalu klik Next. iv. Klik Next.

4 v. Pilih Cyclone II pada sub menu device family lalu pada sub menu target device pilih Specific device selected in Available device list, kemudian pilih device dengan nama EP2C20F484C7 setelah itu klik Next. vi. Klik Next.

5 vii. Klik Finish. c. Membuat lembar kerja baru i. Untuk membuat lembar kerja baru pilih file > New ii. Pilih VHDL File lalu klik OK.

6 d. Meng-compile hasil pengkodean i. Contoh hasil pengkodean (Half Adder). Berikut contoh scriptnya : LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; ENTITY Belajar1 IS PORT (X1,X2 : IN STD_LOGIC; Y1,Y2 : OUT STD_LOGIC); END Belajar1; ARCHITECTURE LogicFunction OF Belajar1 IS BEGIN Y1 <= X1 XOR X2; Y2 <= X1 AND X2; END LogicFunction; Untuk nama entity harus sama dengan nama file yang ingin dibuat. ii. Cari tool pada bagian atas interface software Quartus, lalu klik tool tersebut. iii. Tunggu sampai hasil compile terdeteksi.

7 iv. Berikut hasil program yang telah berhasil di-compile. e. Melihat hasil sintetis rangkaian logika. i. Hasil rangkaian dapat dilihat dengan dua metode. Yaitu, Technology Map Viewer dan RTL Viewer. ii. Ikuti langkah berikut untuk melihat hasil rangkaian logika dengan Technology Map Viewer. iii. Berikut adalah hasil menggunakan Technology Map Viewer.

8 iv. Ikuti langkah berikut untuk melihat hasil rangkaian logika dengan RTL Viewer. v. Berikut adalah contoh hasil menggunakan RTL Viewer. f. Melakukan Simulasi Rangkaian. i. Klik tools RTL Simulation. ii. Pada bagian library cari sub library work lalu pilih entity file yang sama dengan program sintesis rangkaian yang dibuat. iii. Pada bagian object pilih semua variable yang ada pada rangkaian untuk dimasukkan ke jendela simulasi.

9 iv. Buka jendela simulasi. v. Pada variable input masukkan nilai yang diinginkan dan ubah selang waktu yang dibutuhkan sesuai keinginan.

10 vi. Lakukan running program. g. Load hasil sintesis rangkaian ke FPGA i. Cari sub-menu assignment lalu pilih tools pin planner.

11 ii. Masukkan konfigurasi pin yang diinginkan. iii. Cari sub-menu tools dan pilih tools programmer. iv. pilih Hardware lalu pilih USB Blaster dan klik start.

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

Tutorial NIOS II dengan Quartus II 9.0

Tutorial NIOS II dengan Quartus II 9.0 Tutorial NIOS II dengan Quartus II 9.0 1. Buka Start Program Altera Quartus II 9.0 2. Klik File New Project Wizard, tentukan lokasi folder tempat kita menyimpan project kemudian beri nama project tersebut

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Praktikum Sistem Digital

Praktikum Sistem Digital PETUNJUK PRAKTIKUM Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro Dan Informatika Institut Teknologi Bandung 2013 Buku Petunjuk Praktikum Sistem Digital EL 2102 Mervin

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software Arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler Arduino menggunakan Arduino

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Praktikum Sistem Digital

Praktikum Sistem Digital PETUNJUK PRAKTIKUM Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro Dan Informatika Institut Teknologi Bandung 2017 Buku Petunjuk Praktikum Sistem Digital EL 2102 Mervin

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 1: Pengenalan Xilinx ISE dan Sistem Masukan-Keluaran 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengenalkan praktikan software Xilinx ISE Webpack

Lebih terperinci

Pemrograman Mikrokontroler MCS-51 menggunakan Programmer USBasp Oleh : Seniman INSTALASI DRIVER USBASP DI KOMPUTER

Pemrograman Mikrokontroler MCS-51 menggunakan Programmer USBasp Oleh : Seniman INSTALASI DRIVER USBASP DI KOMPUTER 1. WINDOWS VISTA INSTALASI DRIVER USBASP DI KOMPUTER 1. Pasangkan USBasp ke Komputer 2. Pada komputer, dari menu Start ->Klik kanan Computer -> Properties ->Device Manager Pilih LibUSB-Win32 Devices ->

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

MENGENAL WEMOS D1 MINI DALAM DUNIA IOT

MENGENAL WEMOS D1 MINI DALAM DUNIA IOT MENGENAL WEMOS D1 MINI DALAM DUNIA IOT Dian Mustika Putri mustika@raharja.info :: https://dianmstkputri.wordpress.com Abstrak Dunia IT khususnya dalam bidang IOT (Internet of Things) atau yang biasa dikenal

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano A-51 Ainun Jariyah, Suwadi, dan Gamantyo Hendrantoro Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT 38 BAB III PERANCANGAN ALAT Pada bab ini akan dijelaskan tentang perancangan Alat pendeteksi dini kerusakan pada sistem pengkondisian udara secara umum alat ini terdiri dari 2 bagian. Bagian pertama yaitu

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK Bab ini membahas tentang perancangan perangkat lunak yang meliputi interface PC dengan mikrokontroller, design, database menggunakan Microsoft access untuk

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

Aplikasi Kamera Pemantau & Electrical Appliance Remote. Berbasis PC Menggunakan Microcontroller. Arduino Uno & LAN Connection

Aplikasi Kamera Pemantau & Electrical Appliance Remote. Berbasis PC Menggunakan Microcontroller. Arduino Uno & LAN Connection TUGAS AKHIR Aplikasi Kamera Pemantau & Electrical Appliance Remote Berbasis PC Menggunakan Microcontroller Arduino Uno & LAN Connection Diajukan Guna Melengkapi Sebagian Syarat Dalam Mencapai Gelar Sarjana

Lebih terperinci

BAB III PERANCANGAN DAN PEMODELAN

BAB III PERANCANGAN DAN PEMODELAN BAB III PERANCANGAN DAN PEMODELAN Pada bab ini akan membahas mengenai perancangan dan pemodelan serta realisasi dari perangkat keras dan perangkat lunak untuk alat pengukur kecepatan dengan sensor infra

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

PERCOBAAN I PENGENALAN CODEVISION AVR

PERCOBAAN I PENGENALAN CODEVISION AVR PERCOBAAN I PENGENALAN CODEVISION AVR TUJUAN Memahami cara membuat file project dengan aplikasi CodeVision AVR Memahami cara menggunakan CodeWizzard Memahami cara menampilkan data ke port output Memahami

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

Klik File setup.exe lalu akan muncul tampilan seperti gambar dibawah ini.

Klik File setup.exe lalu akan muncul tampilan seperti gambar dibawah ini. 1. Instalasi Net Support Manager Klik File setup.exe lalu akan muncul tampilan seperti gambar dibawah ini. Tekan Next, maka akan muncul tampilan License Agreement Pilih Accept lalu tekan Next, lalu akan

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN LOGIKA LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM

MODUL PRAKTIKUM RANGKAIAN LOGIKA LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM PELINDUNG Dekan Dr. Ir. Rina Pudji Astuti M.T. Kepala Bidang Keahlian Achmad Rizal, Ssi., MT Koordinator Dosen Rangkaian Logika Denny Darlis, Ssi., MT Dosen Pembimbing Laboratorium Estananto, M.Sc PELAKSANA

Lebih terperinci

Membuat Project dengan CodeVisionAVR.

Membuat Project dengan CodeVisionAVR. Membuat Project dengan CodeVisionAVR. Pada penjelasan berikutnya, sebagai contoh digunakan modul AVR yang mempunyai hubungan sebagai berikut: PortA terhubung dengan 8 buah LED dengan operasi aktif high

Lebih terperinci

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS DT-51 AT89C51XXX BMS Application Note Oleh: Tim IE Application Note (AN) ini disusun untuk memberikan penjelasan tentang cara penggunaan DT-51 AT89C51XXX Bootloader Micro System beserta software pendukungnya.

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT 37 BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Pengukuran dan Pengujian Pengukuran dan pengujian alat bertujuan agar dapat diketahui sifat dan karakteristik tiap blok rangkaian dan fungsi serta cara kerja

Lebih terperinci

MODUL XI MEMBUAT LAPORAN

MODUL XI MEMBUAT LAPORAN MODUL XI MEMBUAT LAPORAN A. TUJUAN Memahami konsep dasar pembuatan laporan. Mampu mengimplementasikan konsep-konsep pembuatan laporan pada pemrograman VB.NET Mampu membuat laporan menggunakan crystal report.

Lebih terperinci

Pertama tama install terlebih dahulu java versi terbaru pada. atau didalam

Pertama tama install terlebih dahulu java versi terbaru pada.  atau didalam 1 Langkah Langkah Instalasi android SDK dan Eclipse : Install Komponen yang dibutuhkan : Pertama tama install terlebih dahulu java versi terbaru pada alamat berikut ini : http://www.java.com/en/download/index.jsp

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

ABSTRAK. Kata kunci : Mikrokontroller ATMega 8535, RTC, Ternak Itik, Battery room farm

ABSTRAK. Kata kunci : Mikrokontroller ATMega 8535, RTC, Ternak Itik, Battery room farm Judul Pembimbing I Pembimbing II Penyusun : Sistem Suplay Air Minum Otomatis Pada Baterry Room Farm Menggunakan Mikrokontroller 8535 : Basuki Rahmat, S.Si, M.T : Ir Kartini MT : Mochamad Susatya ABSTRAK

Lebih terperinci

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember PRAKTIKUM 2 SISTEM PENGATURAN TEMPERATUR TUJUAN 1. Memahami tipe pengaturan ON-OFF dan PID pada sistem pengaturan temperatur 2. Memahami data logging menggunakan DAQ Master REFERENSI TK4 SERIES Introduction

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

BAB 4 PEMBUATAN PAKET INSTALLER

BAB 4 PEMBUATAN PAKET INSTALLER BAB 4 PEMBUATAN PAKET INSTALLER Pada bab ini kita akan membahas tentang cara membuat installer Aplikasi Perpustakaan Sekolah yang telah kita buat tadi. Kita akan menggunakan tools yang ada pada program

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M. LAPORAN PRAKTIKUM KELAS PTIK 05 2014 TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

DAFTAR ISI DAFTAR ISI MIKROKONTROLER AVR ATMega

DAFTAR ISI DAFTAR ISI MIKROKONTROLER AVR ATMega 1 DAFTAR ISI DAFTAR ISI... 2 MIKROKONTROLER AVR ATMega16... 1 Pengenalan Mikrokontroler AVR ATMega16... 1 Komponen dan Konsep Kerja Mikrokontroler... 4 INSTALASI DRIVER... 5 Instalasi USB Serial Converter...

Lebih terperinci

USB PROGRAMMER (USBasp)

USB PROGRAMMER (USBasp) USB PROGRAMMER (USBasp) 1. INSTALASI USB PROGRAMMER Sebelum dapat digunakan, USB programmer harus diinstalasi terlebih dahulu, baik instalasi hardware maupun instalasi software. A. Instalasi Hardware Seperti

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3.

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. BAB 4 IMPLEMENTASI DAN EVALUASI Pada bab ini akan dijelaskan mengenai langkah-langkah implementasi serta evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. 4.1 Spesifikasi Sistem Pada spesifikasi

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 24 BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Rangkaian Perancangan system monitoring Thermometer data logger menggunakan Arduino uno, yang berfungsi untuk mengontrol atau memonitor semua aktifitas yang

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1.Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari computer kedalam mikrokontroler arduino menggunakan software

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

PENGATURAN PERALATAN

PENGATURAN PERALATAN PENGATURAN PERALATAN Sistem Komputer terdiri dari 4 elemen yang saling berkaitan, yaitu hardware (perangkat keras), software (perangkat lunak), sistem operasi dan pengguna (user). Untuk keterhubungan antara

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Blok Diagram Untuk menghasilkan kontrol sistem yang diharapkan penulis merancang sistem otomatisasi dengan blok diagram sebagai berikut : Gambar 3.1 Blok Diagram Blok diagram

Lebih terperinci

MODUL KULIAH DAN PRAKTIKUM LABOR. Multimedia. Video Editing. Oleh Muhammad Adri, S.Pd, MT

MODUL KULIAH DAN PRAKTIKUM LABOR. Multimedia. Video Editing. Oleh Muhammad Adri, S.Pd, MT MODUL KULIAH DAN PRAKTIKUM LABOR Multimedia Video Editing Oleh Muhammad Adri, S.Pd, MT FAKULTAS ILMU KOMPUTER UNIVERSITAS PUTERA INDONESIA UPI YPTK PADANG 2008 VIDEO EDITING DENGAN PINNACLE STUDIO 9 Pinnacle

Lebih terperinci

PETUNJUK PRAKTKUM PRAKTIKUM SISTEM DIGITAL DAN MIKROPROSESOR. Laboratorium Dasar Teknik Elektro

PETUNJUK PRAKTKUM PRAKTIKUM SISTEM DIGITAL DAN MIKROPROSESOR. Laboratorium Dasar Teknik Elektro PETUNJUK PRAKTKUM PRAKTIKUM SISTEM DIGITAL DAN MIKROPROSESOR Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro Dan Informatika Institut Teknologi Bandung 2013 BUKU PETUNJUK PRAKTIKUM SISTEM DIGITAL

Lebih terperinci

SIMATIC MANAGER. 1.1 Penjelasan Simatic Manager

SIMATIC MANAGER. 1.1 Penjelasan Simatic Manager SIMATIC MANAGER 1.1 Penjelasan Simatic Manager Simatic Manager adalah software graphic user interface untuk mengedit secara offline/online untuk hardware s7 siemens(project,file program,blok,hardware stations).dengan

Lebih terperinci

Belajar mikrokontroler Arduino untuk tingkat Pemula.

Belajar mikrokontroler Arduino untuk tingkat Pemula. Belajar mikrokontroler Arduino untuk tingkat Pemula. Arduino sudah menjadi salah satu modul mikrokontroler yang cukup populer sejak beberapa tahun ini. Sifatnya yang open source dan semakin banyak dukungan

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA BAB IV PENGUJIAN DAN ANALISA Setelah proses perancangan selesai, maka dalam bab ini akan diungkapkan dan diuraikan mengenai persiapan komponen dan peralatan yang dipergunakan, serta langkah-langkah praktek,

Lebih terperinci

Persiapan. 2.1 Hardware

Persiapan. 2.1 Hardware Persiapan Selanjutnya, pertama kali Anda perlu mempersiapkan segala sesuatu yang diperlukan untuk pemrograman Android, baik dari segi hardware dan software. Persiapan ini gunanya menyediakan IDE dan platform

Lebih terperinci

AVR USB ISP Trademarks & Copyright

AVR USB ISP Trademarks & Copyright AVR USB ISP Trademarks & Copyright PC is a trademark of International Business Machines Corporation. Windows is a registered trademark of Microsoft Corporation. AVR is registered trademark of Atmel Corporation.

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Rencana Implementasi Tabel 4.1 Tabel rencana implementasi OCT NOV DEC JAN Act Plan I II III IV V I II III IV I II III IV V I II Pemilihan Hardware & Software # # Konfigurasi

Lebih terperinci

PANDUAN PRAKTIKUM DASAR ARDUINO

PANDUAN PRAKTIKUM DASAR ARDUINO BBROBOTINDONESIA PANDUAN PRAKTIKUM DASAR ARDUINO www.belajarbikinrobot.weebly.com Praktikum 3 Belajar Arduino analogread() dan Komunikasi Serial Setelah sebelumnya kita belajar tentang penggunaan pin digital

Lebih terperinci

Instalasi SDK dan AVD

Instalasi SDK dan AVD Instalasi SDK dan AVD Persiapan System Sebelum memulai mengembangkan aplikasi untuk android, Anda perlu menyiapkan Komputer Anda agar siap untuk digunakan untuk installasi Android SDK. Beberapa kebutuhannya

Lebih terperinci

BAB III METODE PENELITIAN. Mulai. Studi Pustaka. Perancangan Perangkat Lunak. Pembuatan Sistem. Uji. Selesai. Gambar 3.1 Diagram Alir Penelitian

BAB III METODE PENELITIAN. Mulai. Studi Pustaka. Perancangan Perangkat Lunak. Pembuatan Sistem. Uji. Selesai. Gambar 3.1 Diagram Alir Penelitian 20 BAB III METODE PENELITIAN 3.1 Diagram Alir Penelitian Diagram blok penelitian yang akan dilakukan dapat digambarkan pada gambar berikut: Mulai Studi Pustaka Perancangan Perangkat Lunak Pembuatan Sistem

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan akan menjelaskan mengenai sistem dari mesin Aciera F5 dengan pengendali berbasis komputer personal menggunakan software Mach3 yang digunakan untuk mengendalikan

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

Percobaan 9 Basic Widget

Percobaan 9 Basic Widget Percobaan 9 Basic Widget 9.1 Pokok Bahasan XML Layout Basic Widget 9.2 Tujuan Dengan praktikum ini mahasiswa diharapkan dapat: Memahami pembuatan user interfaces dengan menggunakan XML layout Memahami

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

Gambar 1 Arduino IDE 0022 untuk DT-AVR Inodunio

Gambar 1 Arduino IDE 0022 untuk DT-AVR Inodunio DT-AVR Inoduino merupakan modul Arduino Compatible yang diproduksi oleh Innovative Electronics. Modul ini berbasiskan AT90USB1287 yang sudah terdapat USB controller internal. Pada artikel ini akan dibahas

Lebih terperinci

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma Modul Praktikum FIELD PROGRAMABLE GATE ARRAY Universitas Gunadarma By Laboratorium Sistem Komputer Lanjut Universitas Gunadarma Daftar isi : BAB 1 : Schematic... 5 1.1. Rancangan Schematic... 6 1.2. Gerbang

Lebih terperinci

MODUL I PRAKTIKUM KPPL MS PROJECT

MODUL I PRAKTIKUM KPPL MS PROJECT MODUL I PRAKTIKUM KPPL MS PROJECT CACA E. SUPRIANA, S.Si (caca_emile@yahoo.co.id) 1 1. Pendahuluan Salah satu kakas (tools) untuk membantu penjadwalan proyek adalah Microsoft Project, fasilitas yang disediakan

Lebih terperinci

MODUL I. A. Instalasi Borland Delphi Buka file instalasi Borland Delphi Klik Run Program. 3. Klik Next

MODUL I. A. Instalasi Borland Delphi Buka file instalasi Borland Delphi Klik Run Program. 3. Klik Next MODUL I A. Instalasi Borland Delphi 7.0 1. Buka file instalasi Borland Delphi 7.0 *) Run As Administrator Jika Menggunakan Windows Vista / 7 / 8 2. Klik Run Program 3. Klik Next 4. Isikan serial Number

Lebih terperinci