MATERI PELATIHAN VHDL UNTUK SINTESIS

Ukuran: px
Mulai penontonan dengan halaman:

Download "MATERI PELATIHAN VHDL UNTUK SINTESIS"

Transkripsi

1 MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A

2 Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL Design Flow 3. Proses Perancangan 4. Entity dan architecture 5. Macam-macam deskripsi architecture 6. Process Statement 7. Library 8. Package 9. Hirarki 10. Studi Kasus A. PENDAHULUAN VHDL : VHSIC Hardware Description Language dimana VHSIC: Very High Speed Integrated Circuit. VHDL adalah salah satu jenis bahasa HDL tingkat tinggi yang digunakan untuk: 1. Design Entry (synthesis) untuk FPD dan ASIC. Menjelaskan (menerangkan) struktur dan perilaku (behaviour) dari rancangan elektronika digital yang dibuat. Rancangan yang dibuat ini nantinya dapat diimplementasikan pada sistem digital baik berupa FPD (Field Programmable Devices) atau ASIC (Application Specific Integrated Circuit). 2. Melakukan simulasi (analisis) 3. Test (uji coba) fungsionalitas dari rancangan. Contoh HDL yang lain: Verilog, Abel, IHDL (Intel HDL), dan UDL/I VHDL pada awalnya dikembangkan oleh departemen pertahanan Amerika (DoD) pada tahun Pada tahun 1987 pengelolaanya diserahkan ke IEEE supaya bisa dipakai secar luas oleh pihak industri dan akademisi. IEEE yang melakukan standardisasi pada VHDL (1987, 1992, 1999). B. VHDL Design Flow Langkah-langkah proses desain pada sistem digital secara konvensioal 1. Deskripsi sistem secara informal (blok I/O dengan penjelasannya) 2. Persamaal Bool (termasuk setelah minimisasi misalnya dengan K-map) 3. Rangkaian Skematika (termasuk setelah minimisasi gate misalnya dengan De Morgan) 4. Implementasi dengan IC digital ( Pada proses desain konvensional ini: - Perancang harus memahami komponen dasar rangkaian (gate dan flip-flop). - Ada banyak problem untuk sistem besar (persamaan matematika dan skematika yang rumit) - Susah melakukan modifikasi rancangan. Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 1

3 Langkah-langkah proses desain sistem digital dengan menggunakan VHDL 1. Deskripsi informal sistem (blok I/O dengan penjelasannya). 2. Deskripsi dengan VHDL. 3. Sintesa. 4. Implementasi. Pada proses desain dengan VHDL ini - Deskripsi dengan VHDL dapat merupakan deskripsi tingkat tinggi (high/behavioral level), gate level, atau yang lain karena VHDL merupakan bahasa yang human and machine readable. - Proses sintesa dan implementasi dilakukan secara otomatis oleh sebuah tools. Demikian juga editor untuk mendeskripsikan VHDL. - Hasil implementasi (pada hardware) tidak bisa dibaca, sehingga memiliki kerahasiaan yang tinggi. - Biasanya hardware untuk implementasi memiliki kecepatan yang tinggi dibandingkan dengan mikroporosesor/mikrokontroler. Catatan: Mikroprosesor/mikrokontroler biasanya disebut juga software programmable device, sedangkan PLD atau FPGA disebut hardware programmable device C. Proses Perancangan dengan VHDL Contoh: Akan dirancang sebuah detektor bilangan prima 3-bit. Sistem dapat digambarkan dengan blok diagram dan tabel kebenaran sebagai berikut: Blok Diagram Tabel Kebenaran Dengan K-map (minimisasi) diperoleh persamaan logika sebagai berikut: Persamaan logika: K-map Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 2

4 Persamaan logika tersebut salah satu cara untuk membuat deskripsi VHDL. Pada contoh kali ini akan dipakai Warp dari Cypress untuk mengedit deskripsi VHDL, simulasi, serta sintesisnya. Pada Warp terdapat 2 macam tools, yaitu Galaxy untuk mengedit rancangan dan melakukan sintesis serta Nova untuk melakukan simulasi. Deskripsi bahasa VHDL Beberapa hal dasar untuk deskripsi VHDL diatas: - Pada prinsipnya, deklarasi dengan menggunkan VHDL terdiri atas dua bagian: - Deklarasi entity Untuk menjelaskan interface rancangan dengan dunia luar (pin input dan output). - Deklarasi Arsitektur Untuk menjelaskan fungsionalitas dari rancangan. Deklarasi arsitektur diawali dengan begin dan diakhiri dengan end. Ada banyak cara untuk menjelaskan fungsionalitas, misalnya dengan persamaan logika seperti diatas. - Bahasa VHDL tidak case sensitive, misalnya huruf a (lower-case) dianggap sama dengan huruf A (upper-case). - Setiap statemen diakhiri dengan semikolon (;) - Tanda <= adalah merupakan signal assignment. - Referensi yang digunakan adalah dari ieee berupa operasi logika dan standar yang dipakai adalah standar ieee no 1164 (tahun 1993). - Komentar atau Comment diawali dengan garis tenah ganda (--). Komentar tidak akan dieksekusi oleh compiler VHDL. Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 3

5 Desain yang dinyatakan dalam VHDL tersebut kemudian di compile untuk dilakukan verifikasi sintaksis dan setelah tidak ada kesalahan (error) berikutnya akan dihasilkan file untuk simulasi (verifikasi fungsional) maupun sintesis (baik untuk FPD baupun ASIC). Contoh simulasi untuk sistem diatas digambarkan pada gambar berikut: D. Entity dan Architecture a. Format entity Format entity adalah sebagai berikut: Entity nama_entity is Port (nama nama port : jenis_port jenis_data; End nama_entity; Macam-macam jenis port: - In : digunakan untuk data masuk. - out : digunakan untuk data keluar. - buffer : digunakan untuk proses baca. - inout : digunakan untuk data masuk dan keluar. Jenis data (misalnya): - satu bit : std_logic - bus: std_logic_vector (a to b) atau std_logic_vector (b downto a) b. Format Architecture body Format arsitektur adalah sebagai berikut: architecture nama_arsitektur of nama_entity is begin... deskripsi rancangan... End nama_architecture; Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 4

6 E. Macam-macam deskripsi architecture (style) Berikut ini adalah contoh sistem digital yang berfungsi sebagi komparator 4 bit hasil 0 jika A B 1 jika A = B A, B : 4 bit input hasil : 1 bit output A dan B masing masing adalah data yang panjangnya 4 bit. Keluaran hasil akan berlogika 1 apabila A dan B sama serta berlogika 0 apabila tidak sama. Beberapa cara implementasi komparator 4 bit: a. Struktural/netlist Komparator 4 bit diatas bisa mempunyai persamaan logika: hasil = ((A(0) xor B(0)) nor (A(1) xor B(1))) nor ((A(1) xor B(1)) nor (A(2) xor B(2))) implementasi rangkaian logika (skematika) dari ditunjukkan oleh gambar berikut: Implementasi VHDL secara struktural dilakukan mengekspresikan rangkaian skematika diatas pada kode VHDL. Rangkaian diatas memerlukan dua macam gerbang dasar: XOR 2 input dan NOR 2 input. Beberapa gerbang dari dua macam gate tersebut dihubungkan untuk membentuk komparator 4 bit. Kode VHDL untuk NOR, XOR, maupun program utama bisa diletakkan pada file yang berbeda. Kode VHDL secara struktural ditunjukkan oleh listing berikut: Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 5

7 Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 6

8 b. Boolean Deskrisi boolean menggambarkan cara kerja sistem melalui persamaan logika suatu sistem yang dirancang. Berikut ini implementasi boolean dari komparator yang diambil dari persamaan logika komparator diatas. c. Dataflow (concurrent) Deskripsi data flow menggambarkan cara kerja sistem melalui bagaimana data dialirkan dari sinyal satu ke sinyal yang lain atau dari input ke output. Berikut ini contoh deskripsi dataflow untuk komparator 4 bit. d. Behavioral (sequential statement) Deskripsi behavioral atau seringkali disebut diskripsi tingkat tinggi (high level), adalah deskripsi perilaku (cara kerja) dari sistem yang dirancang. Deskripsi ini seringkali merupakan algoritma bekerjanya sistem. Biasanya deskripsi ini menggunakan statement sekuensial seperti: if.. then..else..., while..., case..., dll. Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 7

9 F. Process Statement nama_process : process (sensitivity list) begin... Sequencial statement... end process nama process; nama_process adalah opsional. sensitivity list : sinyal yang menyebabkan process dieksekusi contoh sequensial statement: - if... then... else - while - case - dll. G. Library, Package, dan Component a. Library Library adalah tempat dimana unit rancangan di compile. Library yang pertama digunakan adalah ieee, dimana unit rancangan standard IEEE diletakkan. Library ini bisa dipanggil untuk bisa diakses melalui perintah: Library ieee; Library yang lain dapat juga didefinisan terlebih dahulu kemudian dipanggil jika diperlukan. b. Package Package adalah suatu unit rancangan pada suatu library. Format mengakses package adalah sebagai berikut: use nama_library.nama_package.item; Sebagai contoh: use ieee.std_logic_1164.all c. Component Component adalah entitas desain yang digunakan pada entitas rancangan yang lain. H. Tipe Obyek (object) Ada tiga jenis objek pada VHDL yaitu: constant, signal, dan variable. - Constan (konstanta) Sebuah konstanta memiliki nilai tetap dan tidak data dirubah oleh proses yang terjadi pada kode (program), dibawah ini adalah contoh konstanta : Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 8

10 constant LEBAR : integer := 8; constant X : std_logic_vector(2 downto 0) := "010"; Sebuah konstanta dapat dideklarasikan pada deklarasi process, architecture, entity ataupun package. - Signal (sinyal) Sebuah sinyal dapat dianggap sebagai sebuah jalur kabel yang menghubungkan berbagai bagian pada modul ataupun antar modul dalam entity, dibawah ini adalah contoh sinyal : signal STATE : STATE_TYPE; signal DBuff : std_logic_vector(7 downto 0); signal Cmdn : std_logic; signal Code : integer range 10 to 5; Sebuah nilai awal dapat diisikan pada deklarasi sinyal, seperti : signal DBuff : std_logic_vector(3 downto 0) := "1101"; Namun, kondisi diatas hanya berguna bagi simulasi dan tidak akan berguna bagi sintesa karena saat suatu device diprogram maka kondisi (state) dari flip-flop pada device itu bisa berada dalam kondisi reset (0), set (1) ataupun tidak menentu, jadi tidak dapat dipastikan bahwa sinyal DBuff pada contoh diatas pasti berisi " " pada saat device dipower up. Sinyal dapat dideklarasikan baik pada deklarasi entity ataupun architecture, hanya saja apabila pada deklarasi entitas maka sinyal akan secara eksplisit memiliki mode (in, out, buffer atau inout), namun apabila pada deklarasi arsitektur maka sinyal akan secara langsung memiliki mode inout. - variable. Suatu variabel hanya dapat dideklarasikan pada bagian process dari suatu arsitektur. Variabel digunakan untuk menampung angka sementara dalam perhitungan dan tidak mencerminkan sebuah kabel. Dibawah ini adalah contoh deklarasi dan pemakaian sebuah variabel : architecture use_of_variable of my_and is begin process(in_bus) variable tmp : std_logic; begin tmp := '1'; for i in 3 downto 0 loop tmp := in_bus(i) and tmp; end loop; qout <= tmp; end process; end use_of_variable; Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 9

11 Pada contoh diatas akan dilakukan proses sebagai berikut : qout <= in_bus(0) AND in_bus(1) AND in_bus(2) AND in_bus(3); I. Tipe Data Terdapat banyak tipe data dalam VHDL, yang akan dibahas disini adalah tipe-tipe sederhana yang sering dipakai dalam pemrograman. Dibawah ini diberikan contoh deklarasi sinyal menggunakan tipe-tipe data sederhana (didefinisikan pada IEEE 1164) : signal Input : bit; signal Flag : std_logic; signal Position : integer range 10 to 5; signal Level : integer range 0 to 10; signal Status : bool; Selain itu dapat didefinisikan pula suatu tipe yang disebut dengan enumerasi (enumeration) seperti berikut : type STATE_TYPE is (IDLE, READ, WRITE, ERROR); signal PState : STATE_TYPE; signal NState : STATE_TYPE; Pendefinisian suatu enumerasi akan sangat berguna pada pembuatan finie state machine. Pada contoh-contoh diatas juga terlihat suatu tipe yang disebut array, misalnya : signal Buffer : std_logic_vector(7 downto 0); Sebenarnya std_logic_vector didefinisikan IEEE 1164 sebagai : type std_logic_vector(natural range <>) of std_logic; Dengan demikian, dapat dibuat suatu tipe array user-defined sebagai berikut : type table4x2 is array(0 to 3, 0 to 2) of bit; constant x_or : table4x2 := ("00_0", "01_1", "10_1", "11_0"); Pada contoh diatas, isi dari tabel x_or ditulis secara vertical agar mudah dibaca, tetapi tentunya tidak harus seperti itu. Terlihat simbol _ pada potongan kode diatas, kebanyakan program sintesa VHDL dapat menerima simbol _ yang ditambahkan pada sembarang dua digit yang berjajar. Dimasukkannya simbol - pada contoh diatas adalah agar tabel menjadi lebih mudah dibaca. Namun, perlu diketahui bahwa tidak semua program sintesa VHDL dapat menerima _. Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 10

12 J. Beberapa contoh kasus 1. Detektor Bilangan Prima 3 bit F berlogika 1 jika input adalah bilangan prima dan berlogika 0 jika input bukan prima. Bila dilakukan penurunan, diperoleh persamaan logika: Kode VHDL nya adalah: 2. Dekoder 2 ke 4 Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 11

13 3. Dekoder BCD ke 7-segment Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 12

14 4. Counter dengan generic 5. kontroler tandon air Blok Diagram kontroler Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 13

15 a. Dengan Mesin Moore Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 14

16 b. Dengan Mesin Mealy Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 15

17 Hasil Simulasi Kondisi : Kosong isi penuh pakai kosong isi penuh Laboratorium Elektronika Dasar, Teknik Elektro FTI ITS 16

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

Pengantar dalam Bahasa Pemrograman Turbo Pascal Tonny Hidayat, S.Kom

Pengantar dalam Bahasa Pemrograman Turbo Pascal Tonny Hidayat, S.Kom Pengantar dalam Bahasa Pemrograman Turbo Pascal Tonny Hidayat, S.Kom Pengantar Bahasa Pemrograman Pascal Page 1 / 11 Pengenalan Pascal Pascal merupakan salah satu bahasa pemrograman tingkat tinggi. Pemrograman

Lebih terperinci

Kajian Bahasa Deskripsi Perangkat Keras

Kajian Bahasa Deskripsi Perangkat Keras Berkala Fisika ISSN : 1410-9662 Vol. 7, No. 2, April 2004, hal 55 61 Kajian Bahasa Deskripsi Perangkat Keras Catur Edi Widodo Laboratorium Instrumentasi & Elektronika Jurusan Fisika FMIPA Undip Abstrak

Lebih terperinci

Interfacing i8088 dengan Memori

Interfacing i8088 dengan Memori Interfacing i8088 dengan Memori Memori harus tersedia pada suatu sistem mikroprosesor, baik untuk menyimpan program maupun untuk menyimpan data. Tergantung dari kebutuhan, memori yg dapat digunakan oleh

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

TIPE DATA, VARIABLE, dan OPERATOR DELPHI

TIPE DATA, VARIABLE, dan OPERATOR DELPHI TIPE DATA, VARIABLE, dan OPERATOR DELPHI A. TIPE DATA Delphi merupakan bahasa pemrograman tingkat tinggi yang mendukung perancangan terstruktur dan berorientasi Object. Bahasa pemrograman ini berdasarkan

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Teori Algoritma TIPE DATA

Teori Algoritma TIPE DATA Alam Santosa Teori Algoritma Dasar Algoritma TIPE DATA Program komputer adalah deretan perintah untuk memanipulasi data input menjadi informasi yang bermanfaat bagi pengguna (user). Data yang diinput dapat

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

SMA SANTO PAULUS PONTIANAK

SMA SANTO PAULUS PONTIANAK SMA SANTO PAULUS PONTIANAK Konsep Dasar Pemrograman Pascal Kelas X Semester 2 Pengayaan Teknologi Informasi dan Komunikasi Oleh : Vianney Alexius, mtb TIK-vianney.mtb 2012 Algoritma Serangkaian langkah

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano A-51 Ainun Jariyah, Suwadi, dan Gamantyo Hendrantoro Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

PEMROGRAMAN DASAR ( PASCAL ) PERTEMUAN I

PEMROGRAMAN DASAR ( PASCAL ) PERTEMUAN I PEMROGRAMAN DASAR ( PASCAL ) PERTEMUAN I I. Pengertian Algoritma Algorithm sebenarnya berasal dari kata algorism yang berarti proses menghitung dengan angka Arab. Perencanaan dan perancangan program komputer

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH PEMROGRAMAN PASCAL * (TK) KODE / SKS: KK /2 SKS

SATUAN ACARA PERKULIAHAN MATA KULIAH PEMROGRAMAN PASCAL * (TK) KODE / SKS: KK /2 SKS MATA KULIAH PEMROGRAMAN * (TK) Minggu ke Pokok Bahasan dan TIU 1. Algoritma Konsep Dasar Bahasa Pascal secara singkat sejarah dirancangnya bahasa Memberikan konsep dasar pembuatan program dalam bahasa

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

Kuliah Online : TEKKOM [2013/VI]

Kuliah Online : TEKKOM [2013/VI] Kuliah Online : TEKKOM [2013/VI] Sumber Perancangan Bahasa Pemrograman Bahasa alami (natural language) Konstruksi yang diturunkan dari bahasa alami, karena bahasa alami dapat digunakan sebagai panduan

Lebih terperinci

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL Beauty Anggraheny Ikawanty 9 Abstrak Di Indonesia masih banyak penggunaan buka tutup pintu pada bendungan dilakukan secara

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

STRUKTUR KENDALI. Memanfaatkan struktur kendali untuk kasus komputasi

STRUKTUR KENDALI. Memanfaatkan struktur kendali untuk kasus komputasi STRUKTUR KENDALI Modul TIK XI Memanfaatkan struktur kendali untuk kasus komputasi Statement kendali digunakan untuk proses pengambilan keputusan. ( PROSES DECISION ) Dimana proses akan dikerjakan bila

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan PENGENALAN SISTEM MIKROPROSESOR Outline : Mikroprosesor ROM RAM Piranti I/O Piranti Input Tidak "programable Piranti Output Tidak "programable Programable I/O Decoder sistem mikroprosesor berdasarkan piranti-piranti

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi komputer telah membuat ruang batas perangkat lunak dan perangkat keras semakin sempit. Komputer sebagai sistem tidak dapat dipahami tanpa memahami

Lebih terperinci

RANCANG BANGUN SIMULASI PENGAMAN BEBAN LEBIH TRANSFORMATOR GARDU INDUK MENGGUNAKAN PROGRAMMABLE LOGIC CONTROLLER

RANCANG BANGUN SIMULASI PENGAMAN BEBAN LEBIH TRANSFORMATOR GARDU INDUK MENGGUNAKAN PROGRAMMABLE LOGIC CONTROLLER RANCANG BANGUN SIMULASI PENGAMAN BEBAN LEBIH TRANSFORMATOR GARDU INDUK MENGGUNAKAN PROGRAMMABLE LOGIC CONTROLLER Doni Irifan (2210038020) Dosen Pembimbing : Ir. R.Wahyudi. Ir. Josaphat Pramudijanto, M.Eng.

Lebih terperinci

Implementasi OOP Pada Perangkat Lunak Pemrograman

Implementasi OOP Pada Perangkat Lunak Pemrograman Silabus Pertemuan ke- Pokok Bahasan Keterangan 1 Pengenalan Dasar Pemrograman 2 Konsep Dasar Pemrograman 3 Tahapan Pembuatan Program 4 Elemen-Elemen Bahasa Pemrograman 5 Analisa Struktur Program 6 Analisa

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

BAB V PROGRAMMABLE LOGIC CONTROLLER

BAB V PROGRAMMABLE LOGIC CONTROLLER 5 1 BAB V PROGRAMMABLE LOGIC CONTROLLER 5.1 Pengantar Pada aplikasi industri, banyak dibutuhkan implementasi pengontrol proses yang akan beraksi menghasilkan output sebagai fungsi dari state, perubahan

Lebih terperinci

TEKNIK PEMROGRAMAN MIKROKONTROLER AVR

TEKNIK PEMROGRAMAN MIKROKONTROLER AVR TEKNIK PEMROGRAMAN MIKROKONTROLER AVR Seiring dengan makin pesatnya teknologi, maka bidang elektronika sebagai salah satu pilar penopang terbesarnya, juga mengalami perkembangan yang luar biasa. Oleh karena

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

PSEUDOCODE TIPE DATA, VARIABEL, DAN OPERATOR

PSEUDOCODE TIPE DATA, VARIABEL, DAN OPERATOR 1 PSEUDOCODE TIPE DATA, VARIABEL, DAN OPERATOR Siti Mukaromah, S.Kom TEKNIK PENYAJIAN ALGORITMA Teknik Tulisan Structure English Pseudocode Teknik Gambar Structure Chart HIPO Flowchart 2 PSEUDOCODE Kode

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

PPI Skema konektor dari IC PPI 8255 adalah sebagai berikut :

PPI Skema konektor dari IC PPI 8255 adalah sebagai berikut : PPI 8255 Untuk mengirimkan data ke perangkat luar, µp8088 dapat menggunakan latch (Flip- Flop) untuk menyimpan data tersebut sampai ada data baru yang ingin dikirim µp 8088. Sedangkan untuk menerima data,

Lebih terperinci

Universitas gunadarma. pascal. Bab 4- bab 10. Hana Pertiwi S.T

Universitas gunadarma. pascal. Bab 4- bab 10. Hana Pertiwi S.T Universitas gunadarma pascal Bab 4- bab 10 Hana Pertiwi S.T 14 PASCAL Struktur Perulangan WHILE-DO Struktur Perulangan REPEAT-UNTIL REPEAT UNTIL 1. Struktur Perulangan FOR 2. Penggunaan gabungan struktur

Lebih terperinci

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia KOMPONEN INTERFACING Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia Pendahuluan Dalam bab ini akan dibahas tujuan perkuliahan, komponen komponen input/output

Lebih terperinci