BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA"

Transkripsi

1 BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar digital - Mampu menyederhanakan rangkaian digital dan mensimulasikannya 2.1 Disain Rangkaian Digital dengan Xilinx Projek baru dapat dimulai klik File New project. Setelah itu akan muncul window New Project. Kemudian tuliskan nama project dan direktori tempat file project akan disimpan, tipe, chip famili dan chip part number serta device speed. Seperti yang terlihat pada gambar 2.1 dengan nama projek baru PERC1AND dengan direktori C:\active\projects. Gambar 2.1 : New Project Membuka window New Project juga dapat dilakukan dengan klik ikon new project seperti yang terlihat pada gambar 2.1. Setelah klik OK, tampilan Project Manager akan terlihat seperti pada gambar

2 Gambar 2.2 : Projek PERC1AND Pada bagian selanjutnya akan dibahas mengenai schematic editor dan simulasi. 2.2 Schematic Editor Klik Schematic editor untuk bisa memulai menggambar rangkaian sehingga akan terbuka window seperti gambar 2.3, atau dengan klik Tools Schematic editor. Gambar 2.3 : Schematic editor Pada gambar 2.3 dapat dilihat beberapa ikon disamping sebelah kiri gambar. Untuk mulai menyusun rangkaian kita pilih Mode Symbols atau dengan klik ikon symbol toolbox, sehingga akan muncul SC Symbols window seperti yang terlihat pada gambar 2.4. Di dalam SC Symbol window ini kita memilih komponen yang akan kita rangkai. 8

3 Gambar 2.4 : SC Symbols Pada percobaan pertama ini gambar gerbang and dengan dua masukan ( A dan B ) dan satu keluaran (Y) seperti yang terlihat pada gambar 2.5. Gambar 2.5 : Gambar Schematic Editor Gerbang AND Input dan output (A, B dan Y) digambar dengan klik hierarchy connector atau I/O Terminal kemudian ketik terminal name dan type terminal, seperti yang terlihat pada gambar 2.6. Setelah itu gambar wire dengan klik draw wire. Hubungkan input A dan B serta keluaran Y dengan gerbang AND seperti yang terlihat pada gambar

4 Gambar 2.6 : I/O terminal Setelah selesai membuat rangkaian periksa apakah rangkaian sudah benar atau belum, caranya dengan memilih Options Create Netlist setelah itu pilih Options Integrity Test. Jika rangkaian masih ada yang salah maka Xilinx akan menampilkan kesalahan tersebut, sehingga rangkaian dapat diperbaiki sampai benar. Setelah memeriksa kebenaran rangkaian lanjutkan dengan mengirim netlist yang sudah dibuat tadi. Maksud dari pengiriman netlist ini supaya rancangan rangkaian dapat dibaca oleh aplikasi Xilinx yang lain (seperti Simulator). Caranya pilih Options Export Netlist. Export Netlist window akan muncul. Pilih Edit 200 [*.EDN] pada Netlist Format. Setelah itu tekan OK. Dari gambar rangkaian yang telah digambar dapat diperoleh HDL Program (hanya tersedia VHDL). Caranya adalah pilih Options Export Netlist. Kemudian pilih Vhdl [ *.VHD ] pada Netlist Format setelah itu tekan OK. Sekarang dapat dilihat HDL program dari Gambar rangkaian yang telah digambar. Berikut ini adalah listing programnya (dalam VHDL) : -- ACTIVE-CAD-2-VHDL, , Fri Nov 26 02:34: LIBRARY IEEE; USE IEEE.std_logic_1164.all; library x1; use x1.global_signals.all; entity X1 is port ( A : in std_logic; B : in std_logic; 10

5 Y : out std_logic ); end X1; architecture STRUCTURE of X1 is --COMPONENTS component AND2 port ( I0 : in std_logic; I1 : in std_logic; O : out std_logic ); end component; --SIGNALS begin --SIGNAL ASSIGNMENTS --COMPONENT INSTANCES X36_I1 : AND2 port map( I0 => B, I1 => A, O => Y ); end STRUCTURE; 2.3 Functional Simulation Setelah selesai membuat rancangan Schematic sekarang dapat dilihat simulasi Timing Diagram yang dihasilkan oleh rangkaian yang telah dibuat. Caranya adalah meng-klik simulator pada Project Window. Kemudian akan tampak Logic-Simulator Foundation window dan Waveform Viewer Window yang masih kosong. Seperti yang terlihat pada gambar 2.7. Gambar 2.7 : Simulator 11

6 Yang pertama harus lakukan adalah menambahkan input dan output pada Waveform Viewer supaya dapat melakukan simulasi. Caranya adalah pilih Signal Add Signals. Component Selection for Waveform Viewer window akan muncul seperti yang terlihat pada gambar 2.8. Kemudian pilihlah Input dan Output yang akan disimulasikan. Setelah selesai pilih Close. Gambar 2.8 : Component selection for waveform viewer Setelah semua Input dan Output dipilih, tambahkan Stimulator supaya rangkaian dapat disimulasikan. Caranya pilih Signal Add Stimulators. Stimulator Selection window akan muncul seperti yang terlihat gambar 2.9. Gambar 2.9 : Stimulator selection Sekarang rangkaian siap untuk disimulasikan. Untuk itu pilih View Main Toolbox untuk menampilkan Simulator window. Klik step atau long maka Timing Digram akan muncul, seperti yang terlihat pada gambar

7 Gambar 2.10 : Hasil simulasi gerbang And Dari Timing Diagram terlihat hasil simulasi gerbang And yaitu 000, 100, 010, 111 dan seterusnya. Dari timing diagram dapat dilihat bahwa herbang And adalah gerbang yang memberikan keluaran hanya bila semua masukan ada. Dengan kata lain gerbang AND merupakan gerbang semua atau tidak ada ; keluaran hanya terjadi bila semua masukan ada. 2.4 Simulasi Gerbang Dasar Pada bagian ini menggambar semua gerbang logika dasar dan mensimulasikan masing-masing gambar tersebut Gerbang OR Gerbang OR adalah gerbang dimana salah satu atau semua masukan; keluaran terjadi bila salah satu atau semua masukan ada. Gerbang OR memberikan keluaran 1 bila salah satu masukan atau ke dua masukan adalah 1. Lihat gambar 2.11 dan gambar Gambar 2.11 : Schematic editor gerbang OR 13

8 Gambar 2.12 : Simulasi gerbang OR Gerbang NOT Gerbang NOT adalah gerbang logika yang memberikan keluaran tidak sama dengan masukannya. Gerbang NOT disebut juga inverter. Gerbang ini mempunyai sebuah masukan dan sebuah keluaran, yang dilakukannya hanyalah membalik sinyal masukan; jika masukan tinggi, keluaran adalah rendah, dan sebaliknya. Lihat gambar 2.13 dan Gambar 2.13 : Schematic editor gerbang NOT Gambar 2.14 : Simulasi gerbang NOT Gerbang NAND (NOT-AND) Gerbang NAND adalah gerbang AND yang diikuti gerbang NOT dengan simbol seperti pada gambar 2.15 dan simulasi gerbang NAND dapat dilihat pada gambar

9 Gambar 2.15 : Schematic editor gerbang NAND Gambar 2.16 : Simulasi gerbang NAND Gerbang NOR dan Gerbang NOR adalah gerbang OR yang diikuti gerbang NOT. Lihat gambar 2.17 Gambar 2.17 : Schematic editor gerbang NOR Gambar 2.18 : Simulasi gerbang NOR 15

10 2.4.5 Gerbang XOR Gerbang ini mempunyai dua masukan dan satu keluaran. XOR adalah nama lain dari Oreksklusif, disebut demikian karena gerbang XOR memberikan keluaran 1 bila masukan pertama atau masukan kedua adalah 1, namun tidak kedua-duanya. Dengan kata lain, gerbang XOR mempunyai keluaran 1 hanya bila ke dua masukannya berbeda dan keluarannya 0 apabila ke dua masukannya sama. Lihat gambar 2.19 dan Gambar 2.19 : Schematic editor gerbang XOR Gambar 2.20 : Simulasi gerbang XOR Gerbang XNOR Gambar 2.21 : Schematic editor gerbang XNOR Gambar 2.22 : Simulasi gerbang XNOR 16

11 2.5 Penyederhanaan Rangkaian Penyederhanaan rangkaian logika dapat dilakukan dengan beberapa metode seperti metode Peta Karnaugh, metode Maksterm/Minterm, metode Aljabar Boolean dan lain sebagainya. Pada sub bagian ini dengan menggunakan simulator Xilinx dapat di ketahui kebenaren hasil penyederhanaan rangkaian dengan mensimulasikan rangkaian sebelum disederhanakan dan yang setelah disederhanakan, dan kemudian membandingkan apakah timing diagram kedua rangkaian tersebut sama atau tidak Menyederhanakan rangkaian Y = ABC + ABC + ABC + ABC : Untuk menyederhanakan rangkaian Y = ABC + ABC + ABC + ABC dapat dilakukan dengan langkah-langkah sebagai berikut : Langkah -1 : gambar rangkaian Y = ABC + ABC + ABC + ABC pada schematic editor, seperti yang terlihat pada gambar Gambar 2.23 : schematic editor Y = ABC + ABC + ABC + ABC Langkah -2 : simulasikan rangkaian terlihat pada gambar Y = ABC + ABC + ABC + ABC, seperti yang 17

12 Gambar 2.24 : hasil simulasi Y = ABC + ABC + ABC + ABC Langkah -3 : sederhanakan rangkaian Y = ABC + ABC + ABC + ABC, pada gambar 2.25 penyederhanaan rangkaian menggunakan peta karnaugh. C AB Y = B Gambar 2.25 : Peta Karnaugh rangkaian Y = ABC + ABC + ABC + ABC Langkah -4 : gambar rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar Gambar 2.26 : Schematic editor Y = B Langkah -5 : simulasikan rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar

13 Gambar 2.27 : simulasi Langkah -6 : bandingkan hasil simulasi rangkaian sebelum disederhanakan (langkah-2) dengan hasil simulasi rangkaian yang telah disederhanakan (langkah-5). Dari timing diagram gambar 2.27 sama dengan timing diagram gambar 2.24 sehingga dapat disimpulkan bahwa penyederhanaan rangkaian yang dilakukan benar Simulasikan X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD dan sederhanakan persamaan serta buktikan hasil penyederhanaan yang dilakukan benar dengan mensimulasikan hasil penyederhanaan persamaan yang diperoleh! Langkah 1 : gambar rangkaian X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD pada schematic editor, seperti yang terlihat pada gambar Langkah -2 : simulasikan rangkaianx = ABCD+ ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD, seperti yang terlihat pada gambar Langkah -3 : sederhanakan rangkaian X = ABCD+ ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD, pada gambar 2.30 penyederhanaan rangkaian menggunakan peta karnaugh. 19

14 Gambar 2.28 : schematic editor X = ABCD+ ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD Gambar 2.29 : hasil simulasi X = ABCD+ ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD AB CD X= A B + A B C + A C D Gambar 2.30 : Peta Karnaugh Langkah -4 : gambar rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar

15 Gambar 2.31 : Schematic editor X= A B + A B C + A C D Langkah -5 : simulasikan rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar Gambar 2.32 : simulasi X= A B + A B C + A C D Langkah -6 : bandingkan hasil simulasi rangkaian sebelum disederhanakan (langkah-2) dengan hasil simulasi rangkaian yang telah disederhanakan (langkah-5). Dari timing diagram gambar 2.32 sama dengan timing diagram gambar 2.29 sehingga dapat disimpulkan bahwa penyederhanaan rangkaian yang dilakukan benar. 21

16 2.5.3 Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD Lakukan seperti pada bagian 2.51 dan Langkah 1 : buat Schematic editor rangkaian Y = ABCD + ABCD + ABCD + ABCD + ABCD+ ABCD + ABCD + ABCD + ABCD + ABCD : Gambar 2.33 : Schematic editor Langkah 2 : simulasikan sehingga diperoleh timing diagramnya (gambar 2.34) Gambar 2.34 : Hasil simulasi Langkah 3 : Sederhanakan rangkaian tersebut (gambar 2.35 menggunakan peta karnaugh) 22

17 AB 00 CD Gambar 2.35 : peta karnaugh Y = A B + CD + BC+ ABC Langkah 4 : gambar hasil rangkaian yang telah disederhanakan (Y = A B+ CD+ BC+ ABC) Gambar 2.36 : peta karnaugh Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya Gambar 2.37 : Hasil simulasi 23

18 Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan. Dari kedua hasil simulasi rangkaian sebelum disederhanakan (gambar 2.34) dan yang setelah disederhanakan (gambar 2.37) sama, sehingga proses penyederhanaan yang dilakukan benar Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! X = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD Langkah 1 : gambar rangkaian diatas pada schematic editor! Langkah 2 : simulasikan sehingga diperoleh timing diagramnya! Langkah 3 : Sederhanakan rangkaian tersebut! Langkah 4 : gambar hasil rangkaian yang telah disederhanakan! Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya! Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan! Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! Y = ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABCD + ABC D + ABCD Langkah 1 : gambar rangkaian di atas pada schematic editor! Langkah 2 : simulasikan sehingga diperoleh timing diagramnya! Langkah 3 : Sederhanakan rangkaian tersebut! Langkah 4 : gambar hasil rangkaian yang telah disederhanakan! Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya! Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan! 24

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Gerbang gerbang Logika -5-

Gerbang gerbang Logika -5- Sistem Digital Gerbang gerbang Logika -5- Missa Lamsani Hal 1 Gerbang Logika 3 gerbang dasar adalah : AND OR NOT 4 gerbang turunan adalah : NAND NOR XOR XNOR Missa Lamsani Hal 2 Gerbang NAND (Not-AND)

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3 1. Kompetensi FAKULTAS TEKNIK No. LST/PTI/PTI6205/02 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS Percobaan 1 Membangun Gerbang Logika Dasar dengan Transistor CMOS 1.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Membangun CMOS Inverting Gate: NOT, NAND, dan NOR Mensimulasikan

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB V OUTPUT FORMING LOGIC

BAB V OUTPUT FORMING LOGIC BAB V OUTPUT FORMING LOGIC OBYEKTIF : - memahami fungsi output forming logic - mampu menggunakan fungsi output forming logic untuk merancang rangkaian digital yang lebih kompleks 5.1 Output Forming Logic

Lebih terperinci

X = A Persamaan Fungsi Gambar 1. Operasi NOT

X = A Persamaan Fungsi Gambar 1. Operasi NOT No. LST/EKO/DEL 214/01 Revisi : 01 Tgl : 1 Februari 2010 Hal 1 dari 8 1. Kompetensi Memahami cara kerja gerbang logika dasar dan gerbang perluasan logika dasar 2. Sub Kompetensi - Membuat rangkaian dengan

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Mengenal Gerbang Logika (Logic Gate)

Mengenal Gerbang Logika (Logic Gate) Mengenal Gerbang Logika (Logic Gate) Anjar Syafari anjar.syafari@gmail.com http://ansitea.blogspot.com Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

D/SA/N JAR/NGAN KOMB/NAS/ONAL

D/SA/N JAR/NGAN KOMB/NAS/ONAL D/SA/N JAR/NGAN KOMB/NAS/ONAL TUJUAN 1. Mendisain jaringan NAND atau NOR output ganda. 2. Menguji disain anda dengan menggunakan simulator logika atau dengan membangunnya dalam leboratorium. PETUNJUKBELAJAR

Lebih terperinci

BAB II STUDI PUSTAKA...

BAB II STUDI PUSTAKA... DAFTAR ISI PERNYATAAN... i ABSTRAK... ii ABSTRACT... iii KATA PENGANTAR... iv UCAPAN TERIMA KASIH... v DAFTAR ISI... vii DAFTAR TABEL... ix DAFTAR GAMBAR... xi DAFTAR LAMPIRAN... xiii BAB I PENDAHULUAN...

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi komputer telah membuat ruang batas perangkat lunak dan perangkat keras semakin sempit. Komputer sebagai sistem tidak dapat dipahami tanpa memahami

Lebih terperinci

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER PRODI D3 METROLOGI DAN INSTRUMENTASI JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER SURABAYA

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

Gerbang Logika. Input (A) Output (Y) 0 (Rendah) 1 (Tinggi) Tinggi (1) Rendah (0) Tabel Kebenaran/Logika Inverter

Gerbang Logika. Input (A) Output (Y) 0 (Rendah) 1 (Tinggi) Tinggi (1) Rendah (0) Tabel Kebenaran/Logika Inverter Gerbang Logika Apa itu gerbang logika? Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan rendah.

Lebih terperinci

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN Dosen Pengampu : Shoffin Nahwa Utama, M.T. Disusun Oleh: MUHAMMAD IBRAHIM NIM : 362015611040 FAKULTAS SAINS DAN TEKNOLOGI TEKNIK

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

Review Sistem Digital : Logika Kombinasional

Review Sistem Digital : Logika Kombinasional JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Logika Kombinasional S dan D3 Mata Kuliah : Elektronika Industri 2 5 Lembar Kerja 2. Jaringan Pensaklaran (Switching

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka.

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka. A. TUJUAN : FAKULTAS TEKNIK Semester 5 LOGIKA KOMBINASIONAL 2 4 5 No. LST/EKA/PTE23 Revisi : Tgl : 7-2-2 Hal dari 22 Setelah selesai pembelajaran diharapkan mahasiswa dapat. Menjelaskan kembali prinsip-prinsip

Lebih terperinci

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA Sigit Susanto Putro Program Studi Teknik Informatika Fakultas Teknik Universitas Trunojoyo E-mail:

Lebih terperinci

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 Alur Pembuatan Program Mikrokontroler TEKNIK PEMOGRAMAN TEKNIK PEMOGRAMAN PEMOGRAMAN DENGAN BAHASA ASSEMBLER Dalam pembuatan perintah (program) pada tahapan

Lebih terperinci

DIAGRAM LADDER. Dr. Fatchul Arifin, MT

DIAGRAM LADDER. Dr. Fatchul Arifin, MT DIAGRAM LADDER Dr. Fatchul Arifin, MT fatchul@uny.ac.id Simbol 1. Load / LD = Star pada normally open input Instruksi ini seperti relay yang NO 2. Load Not / LD NOT = Star pada normally close input Instruksi

Lebih terperinci

Tabel kebenaran untuk dua masukan (input) Y = AB + AB A B Y

Tabel kebenaran untuk dua masukan (input) Y = AB + AB A B Y G.Gerbang X-OR dan Gerbang X-NOR 1. Gerbang X-OR dalah komponen logika yang keluarannya bernilai 1 bila terminal masukannya tidak sama, atau dengan persamaan ditulis : Y = + Simbol gerbang X-OR untuk dua

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

SISTEM DIGITAL GERBANG LOGIKA TEKNIK INFORMATIKA UNIVERSITAS TRUNOJOYO Rahmady Liyantanto Liyantanto, S.kom, S.kom

SISTEM DIGITAL GERBANG LOGIKA TEKNIK INFORMATIKA UNIVERSITAS TRUNOJOYO Rahmady Liyantanto Liyantanto, S.kom, S.kom SISTEM DIGITAL GERBANG LOGIKA TEKNIK INFORMATIKA UNIVERSITAS TRUNOJOYO Rahmady Liyantanto, S.kom liyantanto@gmail.com Pendahuluan Seperti kita ketahui, mesin-mesin digital hanya mampu mengenali dan mengolah

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

Gerbang Logika Dasar I

Gerbang Logika Dasar I Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 1 : Gerbang Logika Dasar I 11 Tujuan Mahasiswa mampu mengimplementasikan logika gerbang dasar ke hardware logika dasar 12 Alat & Bahan 1 IC Gerbang Logika

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

DAFTAR ISI. ABSTRAK... KATA PENGANTAR... DAFTAR TABEL. BAB I PENDAHULUAN.. 1

DAFTAR ISI. ABSTRAK... KATA PENGANTAR... DAFTAR TABEL. BAB I PENDAHULUAN.. 1 DAFTAR ISI ABSTRAK... KATA PENGANTAR... DAFTAR ISI. DAFTAR TABEL. DAFTAR GAMBAR iv v viii x xii BAB I PENDAHULUAN.. 1 1.1 Latar Belakang Masalah. 1 1.2 Rumusan Masalah... 4 1.3 Pembatasan Masalah. 4 1.4

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA Mata Kuliah rsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 10 -- This presentation is revised by H Digital Principles and pplications, Leach- Malvino, McGraw-Hill dhi

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. Visual Basic 6.0 Bahasa pemograman Visual Basic 6.0 dapat digunakan untuk menyusun dan membuat program aplikasi pada sistem operasi windows. Program aplikasi dapat berupa program

Lebih terperinci

GERBANG LOGIKA DASAR

GERBANG LOGIKA DASAR GERNG LOGIK DSR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang logika mempunyai satu terminal output dansatuataulebihterminal input Output-outputnya bisa bernilai

Lebih terperinci

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH YOGYAKARTA 2016 MIKROKONTROLER UNTUK PEMULA

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean

I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean I. Judul Percobaan Rangkaian Gerbang Logika dan Aljabar Boolean II. Tujuan Percobaan 1. Praktikan memahami antara input dan output pada rangkaian logika AND, OR, NOT, XOR, NAND, NOR dan XNOR. 2. Praktikan

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan Abstrak Pemahaman mahasiswa terhadap mata kuliah Sistem Digital yang merupakan mata kuliah keilmuan dan ketrampilan sampai saat sekarang ini dirasa masih kurang, apalagi materi ini merupakan subjek yang

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

Gambar 4.2 Simbol LOAD. Gambar 4.3. Simbol LOAD NOT

Gambar 4.2 Simbol LOAD. Gambar 4.3. Simbol LOAD NOT No. LST/EKA/PTE013 evisi : 00 Tgl : 17-0-010 Hal 1 dari 1 Tujuan Setelah selesai pembelajaran diharapkan mahasiswa dapat memahami karakteristik instruksi dasar pemrograman PLC Kajian Teori Beberapa intruksi

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition

Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Peta Karnaugh (K Map) 1. Format K Map 2. K Map Looping 3. Simplification Process 4. Don t Care Condition Metode Peta Karnaugh Karnaugh Map (K map) Alat bantu grafis dalam penyederhanaan persamaan logic

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

Organisasi & Arsitektur Komputer

Organisasi & Arsitektur Komputer Organisasi & Arsitektur Komputer 1 Logika Digital Eko Budi Setiawan, S.Kom., M.T. Eko Budi Setiawan mail@ekobudisetiawan.com www.ekobudisetiawan.com Teknik Informatika - UNIKOM 2013 Pendahuluan Gerbang

Lebih terperinci

Definisi Gerbang Logika

Definisi Gerbang Logika SISTEM DIGITAL 1 Pendahuluan Seperti kita ketahui, mesin-mesin digital hanya mampu mengenali dan mengolah data yang berbentuk biner. Dalam sistem biner hanya di ijinkan dua keadaan yang tegas berbeda.

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

DASAR ALJABAR BOOLEAN

DASAR ALJABAR BOOLEAN DASAR ALJABAR BOOLEAN Dalam mengembangkan sistem Aljabar Boolean Perlu memulainya dengan asumsi asumsi yakni Postulat Boolean dan Teorema Aljabar Boolean. Postulat Boolean :.. = 2.. = di turunkan dari

Lebih terperinci

Panduan Software TARGET 3001! Tugas Mata Kuliah Desain Sistem Elektronika Oleh : R. Heru Subawanto NIM :

Panduan Software TARGET 3001! Tugas Mata Kuliah Desain Sistem Elektronika Oleh : R. Heru Subawanto NIM : Panduan Software TARGET 3001! Tugas Mata Kuliah Desain Sistem Elektronika Oleh : R. Heru Subawanto NIM : 07224782 Halaman 2 Daftar Isi Daftar Isi... 2 1 Hak Cipta dan Merek Dagang... 3 2 Kebutuhan Dasar

Lebih terperinci

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL Disusun oleh : Nama : David NRP : 0522107 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof. Drg.

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Operasi logika dasar. Aljabar Boolean. (menggambarkan

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

Rangkaian Multilevel

Rangkaian Multilevel Quine Quine Kuliah#5 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Quine Sebelumnya dibahas tentang optimasi rangkaian dengan penyederhanaan

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

TUTORIAL PEMBUATAN SKEMATIK DAN LAYOUT DENGAN ORCAD (Bag 1)

TUTORIAL PEMBUATAN SKEMATIK DAN LAYOUT DENGAN ORCAD (Bag 1) TUTORIAL PEMBUATAN SKEMATIK DAN LAYOUT DENGAN ORCAD (Bag 1) Pertama jalankan OrCAD Release 9.1 pilih Capture CIS, sehingga tampil frame session window seperti dibawah ini: Langkah-langkah membuat skematik:

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

SIMATIC MANAGER. 1.1 Penjelasan Simatic Manager

SIMATIC MANAGER. 1.1 Penjelasan Simatic Manager SIMATIC MANAGER 1.1 Penjelasan Simatic Manager Simatic Manager adalah software graphic user interface untuk mengedit secara offline/online untuk hardware s7 siemens(project,file program,blok,hardware stations).dengan

Lebih terperinci

MODUL 9 PENGENALAN SOFTWARE PROTEUS

MODUL 9 PENGENALAN SOFTWARE PROTEUS MODUL 9 PENGENALAN SOFTWARE PROTEUS TUJUAN 1. Praktikan dapat mengenal software proteus dan merancang skematik rangkaian elektronika serta simulasinya. 2. Praktikan dapat mewujudkan rangkaian yang di simulasikan.

Lebih terperinci

(Keterampilan Komputer Pengelolaan Informasi)

(Keterampilan Komputer Pengelolaan Informasi) (Keterampilan Komputer Pengelolaan Informasi) Mata Diklat : Program Circuit Maker Program keahlian: Semua Program Keahlian Teknik Audio Video Pertemuan : I (Pertama) Di Susun Oleh : Guru Mata Pelajaran

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci