BAHASA PEMROGRAMAN VHDL

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAHASA PEMROGRAMAN VHDL"

Transkripsi

1 BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2 jenis bahasa HDL yang akan dibahas : 1. Verilog 2. VHDL - Verilog HDL / VHDL adalah Bahasa Pendeskripsi Perangkat Keras / Hardware Description Language (HDL). Bahasa VHDL 1

2 Verilog HDL Struktur pemrograman Verilog sangat sederhana Contoh 1: deskripsi sebuah full adder module full_adder (c_out, s, a, b, c); input a, b, c; a wire a, b, c; b c output c_out, s; wire c_out, s; wire w1, w2, w3; xor x1(w1, a, b); xor x2(s, w1, c); nand n1(w2, a, b); nand n2(w3, w1, c); nand n3(c_out, w3, w2); endmodule Bahasa VHDL 2 s c out

3 Contoh 2: model D flip-flop D Q module d_ff (q, ck, D, CLR); input ck, D, CLR; output q; reg q; (neged ck neged CLR) begin if(!clr) q <= 0; else q <= D; end endmodule ck CLR Bahasa VHDL 3

4 Contoh 3: 4 bit Adder module adder_4_bit (c, sum, a, b); input a, b; output c, sum; wire [3:0] a, b, sum; wire c0, c1, c2, c; full_adder f1(c0, sum[0], a[0], b[0], b0); full_adder f2(c1, sum[1], a[1], b[1], c0); full_adder f3(c2, sum[2], a[2], b[2], c1); full_adder f4(c3, sum[3], a[3], b[3], c2); endmodule Fungsi full_adder() ada di contoh 1 Bahasa VHDL 4

5 VHDL Struktur Pemrograman VHDL Entity dan Architecture Modeling: Behavior, Structure dan data Flow Bahasa VHDL 5

6 Istilah-istilah VHDL Entity - blok bentuk bangunan dasar sebuah disain - biasanya diletakkan di bagian paling atas program - jika program berbentuk hierarchical, maka entity di level yang lebih rendah merupakan bagian dari entity dengan level yang lebih tinggi Architecture - mendeskripsikan lingkungan entity - entity tunggal dapat memiliki banyak arsitektur - arsitektur bisa berupa model behaviour atau struktural Driver - merupakan sumber sinyal Bahasa VHDL 6

7 Configuration - statement configuration digunakan untuk mengikat komponen dengan pasangan entity-architecture - Sebuah configuration bisa dinyatakan sebagai part list dari sebuah disain Package - merupakan kumpulan dari tipe-tipe data dan subprogram-subprogram (fungsi) yang digunakan dalam sebuah desain - Package berisi tool-tool untuk membangun sebuah desain Process - Merupakan unit eksekusi VHDL - Semua operasi yang ditampilkan dalam deskripsi VHDL menggunakan satubahasa atauvhdl lebih process 7

8 Entity Contoh : Deskripsi Multiplexer Entity mux is PORT(a, b, c, d : IN BIT; s0, s1 : OUT BIT; x : OUT BIT); END mux; Bahasa VHDL 8

9 Architecture Contoh : behaviour dari mux Architecture dataflow OF mux IS SIGNAL seleksi : INTEGER; BEGIN seleksi <= 0 when s0 = 0 AND s1 = 0 ELSE 1 when s0 = 1 AND s1 = 0 ELSE 2 when s0 = 0 AND s1 = 1 ELSE 3; x <= a when seleksi = 0 ELSE b when seleksi = 1 ELSE c when seleksi = 2 ELSE d; END dataflow; Bahasa VHDL 9

10 Program lengkap untuk deskripsi mux 4x1 : Library ieee; Use ieee.std_logic_1164.all; Entity mux is PORT(a, b, c, d : IN BIT; s0, s1 : IN BIT; x : OUT BIT); END mux; Architecture dataflow OF mux IS SIGNAL seleksi : INTEGER; BEGIN seleksi <= 0 when s0 = 0 AND s1 = 0 ELSE 1 when s0 = 1 AND s1 = 0 ELSE 2 when s0 = 0 AND s1 = 1 ELSE 3; x <= a when seleksi = 0 ELSE b when seleksi = 1 ELSE c when seleksi = 2 ELSE d; END dataflow; Bahasa VHDL 10

11 Menggunakan Bahasa Pemrograman VHDL dengan software WARP 1. Install software WARP ver 4 2. Akan didapatkan 3 file :Galaxy, Nova dan Warp Toolbar 3. Galaxy digunakan untuk editor program yang ditulis 4. Nova digunakan untuk proses simulasi setelah didapatkan file JEDEC-nya(hasil dari compiling di Galaxy) Bahasa VHDL 11

12 Memulai Editor Galaxy Memulai file baru Meng-compile current file Jenis device yang tersedia Bahasa VHDL 12

13 Tampilan Editor Galaxy Smart compile project Jika seluruh program sudah diketik, simpan dengan nama baru. Ekstensi yang dihasilkan adalah *.vhd. File ini dapat di-compile dengan fasilitas smart compile project Bahasa VHDL 13

14 Untuk mendapatkan file JEDEC, masukkan file *.vhd yang sudah dibuat tadi ke menu utama. File Add pilih nama file (klik 2x) OK Pada tombol Set Top klik nama file yang akan dicari JEDEC-nya Compile dengan fasilitas tombol SMART Bahasa VHDL 14

15 Menu proses compile file.vhd. Pada menu ini ditunjukkan kesalahan-kesalahan syntax pada program yang ditulis. Jika ada kesalahan syntax, kembali ke program.vhd tadi, betulkan. Ulangi compile lagi, sampai benar-benar didapatkan file JEDECnya (dengan ekstensi *.jed) Bahasa VHDL 15

16 Tutup proses compile JEDEC. Panggil program NOVA untuk simulasi : Tool Nova Tampilan awal program Nova Bahasa VHDL 16

17 Tampilan Timing Diagram pada Nova : Input data Input selektor output Bahasa VHDL 17

18 Nilai dari data-data input dapat dibuat dengan memberi nilai logika 0 atau 1 pada masing-masing input. Pada tombol input, klik 1x sampai keluar garis putus-putus, letakkan cursor pada garis diagram, drag ke arah kanan sepanjang yang diinginkan. Akan muncul warna biru. Ketik 1 atau 0 sehingga garis akan menunjukkan garis logika 1 atau 0. Lakukan untuk semua tombol input. Untuk melakukan simulasi : Simulate execute Akan muncul hasil simulasi pada diagram output (berwarna merah) Bahasa VHDL 18

19 Hasil simulasi mux 4x1 pada NOVA Bahasa VHDL 19

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Sebelum membahas mengenai pemrograman LabVIEW, sebaiknya pembaca mengenal istilah istilah penting berikut ini.

Sebelum membahas mengenai pemrograman LabVIEW, sebaiknya pembaca mengenal istilah istilah penting berikut ini. Pemrograman LabVIEW 6.1 Istilah-Istilah Penting Sebelum membahas mengenai pemrograman LabVIEW, sebaiknya pembaca mengenal istilah istilah penting berikut ini. 1. G: dari kata graphical, merupakan sebutan

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

MULTIPLEKSER DAN DEMULTIPLEKSER

MULTIPLEKSER DAN DEMULTIPLEKSER MULTIPLEKSER DAN DEMULTIPLEKSER 1. Multiplekser Multiplexer (MUX) atau selector data adalah suatu rangkaian logika yang menerima beberapa input data, dan untuk suatu saat tertentu hanya mengizinkan satu

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

PETUNJUK PROTUES. Mode Selector Toolbar berikut ini beberapa bagian selector toolbar yang umum digunakan untuk simulasi aplikasi mikrokontroler :

PETUNJUK PROTUES. Mode Selector Toolbar berikut ini beberapa bagian selector toolbar yang umum digunakan untuk simulasi aplikasi mikrokontroler : PETUNJUK PROTUES Mode Selector Toolbar berikut ini beberapa bagian selector toolbar yang umum digunakan untuk simulasi aplikasi mikrokontroler : Selection Mode Component Mode Wire Label Mode Buses Mode

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN III.1. Analisa Sistem yang Berjalan Adapun analisis dari game mencari perbedaan jenis rumah adalah dengan menggunakan desain dan ActionScript untuk bahasa pemrograman Flash

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 2: Multiplekser 4 Masukan dan Enkoder Prioritas 4-ke-2 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL Beauty Anggraheny Ikawanty 9 Abstrak Di Indonesia masih banyak penggunaan buka tutup pintu pada bendungan dilakukan secara

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

Transfer Register. Andang, Elektronika Komputer Digital 1

Transfer Register. Andang, Elektronika Komputer Digital 1 Operasi yang berhubungan dengan data yang tersimpan di dalam register atau flip-flop dinamakan mikrooperasi (microoperation) seperti load, clear, shift, dan rotate. Load adalah operasi untuk memuati atau

Lebih terperinci

Bab 1a Case Tools - Case Studio 2

Bab 1a Case Tools - Case Studio 2 Bab 1a Case Tools - Case Studio 2 1.1 Pendahuluan Alat bantu pemodelan pada pendekatan terstruktur adalah Data Flow Diagram (DFD). Beberapa hal yang sering dialami dalam penggambaran DFD adalah ketidakkonsistenan

Lebih terperinci

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma Modul Praktikum FIELD PROGRAMABLE GATE ARRAY Universitas Gunadarma By Laboratorium Sistem Komputer Lanjut Universitas Gunadarma Daftar isi : BAB 1 : Schematic... 5 1.1. Rancangan Schematic... 6 1.2. Gerbang

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK DAN INSTRUMENTASI KENDALI. M-File dan Simulink

LAPORAN PRAKTIKUM TEKNIK DAN INSTRUMENTASI KENDALI. M-File dan Simulink LAPORAN PRAKTIKUM TEKNIK DAN INSTRUMENTASI KENDALI M-File dan Simulink Disusun Oleh Nama : Yudi Irwanto NIM : 021500456 Prodi Jurusan : Elektronika Instrumentasi : Teknofisika Nuklir SEKOLAH TINGGI TEKNOLOGI

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

BAB I PENDAHULUAN Pengantar Bahasa ISETL

BAB I PENDAHULUAN Pengantar Bahasa ISETL BAB I PENDAHULUAN 1.1. Pengantar Bahasa ISETL 1.1.1. Pemrograman Dewasa ini perkembangan teknologi berkembang dengan pesatnya dan dapat digunakan dalam segala bidang, diantaranya bidang kesehatan, bidang

Lebih terperinci

Modeling Tools StarUML

Modeling Tools StarUML StarUML Pengenalan Modeling Tools StarUML Pemodelan merupakan suatu hal yang tidak bisa dilepaskan dari pembangunan aplikasi. Sebagai cikal-bakal dari suatu aplikasi, proses memodelkan tentu bukan hal

Lebih terperinci

Lampiran A : PENGENALAN LINGKUNGAN VISUAL C++

Lampiran A : PENGENALAN LINGKUNGAN VISUAL C++ Lampiran A : PENGENALAN LINGKUNGAN VISUAL C++ Berbagai contoh dan latihan yang diberikan dalam buku pegangan praktikum ini didasarkan pada standar ANSI C dan dijalankan dalam lingkungan Visual C++ Oleh

Lebih terperinci

ROBO-STORE

ROBO-STORE Sensor Pendengaran Microphone Microphone adalah sebuah transducer yang mengubah sinyal suara menjadi sinyalsinyal listrik sesuai pola suara yang diterima. Sistem elektronik akan merespon sinyalsinyal suara

Lebih terperinci

dan Flip-flop TSK505 - Sistem Digital Lanjut Eko Didik Widianto Teknik Sistem Komputer - Universitas Diponegoro Elemen Rangkaian Sekuensial: Latch

dan Flip-flop TSK505 - Sistem Digital Lanjut Eko Didik Widianto Teknik Sistem Komputer - Universitas Diponegoro Elemen Rangkaian Sekuensial: Latch Elemen Rangkaian Sekuensial: Latch dan Flip-flop @2011,Eko Didik Widianto Elemen Rangkaian Sekuensial: Latch dan Flip-flop TSK505 - Sistem Digital Lanjut Rangkaian Sekuensial Latch Flip-flop Eko Didik

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT 38 BAB III PERANCANGAN ALAT Pada bab ini akan dijelaskan tentang perancangan Alat pendeteksi dini kerusakan pada sistem pengkondisian udara secara umum alat ini terdiri dari 2 bagian. Bagian pertama yaitu

Lebih terperinci

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu BAB IV PERANCANGAN DAN PEMBAHASAN Pada bab ini akan diterangkan secara detail mengenai perancangan trainer simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu perancangan hardware

Lebih terperinci

BAB IV HASIL DAN PEMBAHASAN

BAB IV HASIL DAN PEMBAHASAN BAB IV HASIL DAN PEMBAHASAN IV.1. Hasil Dengan teknologi write once run everywhere, aplikasi-aplikasi android dapat dikembangkan dalam Java. Project Java Android digunakan untuk menjalankan dan mengembangkan

Lebih terperinci

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember PRAKTIKUM 2 SISTEM PENGATURAN TEMPERATUR TUJUAN 1. Memahami tipe pengaturan ON-OFF dan PID pada sistem pengaturan temperatur 2. Memahami data logging menggunakan DAQ Master REFERENSI TK4 SERIES Introduction

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Tampilan Hasil Dengan teknologi write once run everywhere, aplikasi-aplikasi android dapat dikembangkan dalam Java. Project Java Android digunakan untuk menjalankan dan

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Kajian Bahasa Deskripsi Perangkat Keras

Kajian Bahasa Deskripsi Perangkat Keras Berkala Fisika ISSN : 1410-9662 Vol. 7, No. 2, April 2004, hal 55 61 Kajian Bahasa Deskripsi Perangkat Keras Catur Edi Widodo Laboratorium Instrumentasi & Elektronika Jurusan Fisika FMIPA Undip Abstrak

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Perancangan Blok Diagram Dalam perancangan alat sangat diperlukan blok diagram sebagai acuan dan cara kerja penulis untuk memetakan pekerjaan yang akan dibuat, dalam hal ini

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER PRODI D3 METROLOGI DAN INSTRUMENTASI JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER SURABAYA

Lebih terperinci

DAFTAR ISI. Halaman Judul. Lembar Pengesahan Pembimbing. Lembar Pernyataan Keaslian. Lembar Pengesahan Penguji. Halaman Persembahan.

DAFTAR ISI. Halaman Judul. Lembar Pengesahan Pembimbing. Lembar Pernyataan Keaslian. Lembar Pengesahan Penguji. Halaman Persembahan. xi DAFTAR ISI Halaman Judul Lembar Pengesahan Pembimbing Lembar Pernyataan Keaslian Lembar Pengesahan Penguji Halaman Persembahan Halaman Motto Kata Pengantar Abstraksi Daftar Isi Daftar Gambar Daftar

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

MATERI TIK KELAS 5 SEMESTER 1 SD KATOLIK SANTA MARIA MAGELANG

MATERI TIK KELAS 5 SEMESTER 1 SD KATOLIK SANTA MARIA MAGELANG MATERI TIK KELAS 5 SEMESTER 1 SD KATOLIK SANTA MARIA MAGELANG Mengenal Diagram alir (flowchart program) Flowchart adalah penyajian yang sistematis tentang proses dan logika dari kegiatan penanganan informasi

Lebih terperinci

Memulai Simulink. Memulai Simulink. Membuat Model Baru. Untuk memulai Simulink dan membuka library milik Simulink :

Memulai Simulink. Memulai Simulink. Membuat Model Baru. Untuk memulai Simulink dan membuka library milik Simulink : Memulai Simulink Memulai Simulink Untuk memulai Simulink dan membuka library milik Simulink : 1. Jalankan program MATLAB. 2. Ketik simulink pada jendela peritah MATLAB. maka akan nampak Library untuk Simulink

Lebih terperinci

Bahasa FORTRAN. Saifoe El Unas. Apa FORTRAN itu?

Bahasa FORTRAN. Saifoe El Unas. Apa FORTRAN itu? Bahasa FORTRAN Saifoe El Unas Apa FORTRAN itu? FORTRAN = Formula Translation Merupakan bahasa pemrograman pertama (1957) untuk Scientists& Engineers. Perkembangan FORTRAN : FORTRAN 66 FORTRAN 77 FORTRAN

Lebih terperinci

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL

PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL PERANCANGAN & SIMULASI UART (UNIVERSAL ASYNCHRONOUS RECEIVER TRANSMITTER) DENGAN BAHASA PEMROGRAMAN VHDL Disusun oleh : Nama : David NRP : 0522107 Jurusan Teknik Elektro, Fakultas Teknik,, Jl. Prof. Drg.

Lebih terperinci

Industrial Informatics and Automation laboratory Electrical Engineering Department Industrial Technology Faculty Institut Teknologi Sepuluh Nopember

Industrial Informatics and Automation laboratory Electrical Engineering Department Industrial Technology Faculty Institut Teknologi Sepuluh Nopember MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER (PLC) SIEMENS TIA PORTAL TUJUAN Mengenalkan intruksi-intruksi dasar yang digunakan pada pemroggraman PLC Siemens S7-1500. Memahami penggunaan PLC di industri

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

MODUL I Pengenalan Teknologi FPGA

MODUL I Pengenalan Teknologi FPGA MODUL I Pengenalan Teknologi FPGA I. Tujuan Pada Percobaan ini praktikan akan dikenalkan dengan salah satu teknologi IC VLSI yaitu Teknologi FPGA (Field Programmable gate Array) disini praktikan akan mempelajari

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Pada bab ini, akan dibahas pengujian alat mulai dari pengujian alat permodul sampai pengujian alat secara keseluruhan, antara lain : 1. Instalasi Software Arduino IDE 2. Pengujian

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisis Penelitian bertujuan untuk merancang sebuah sistem yang dapat melakukan penyisipan sebuah pesan rahasia kedalam media citra digital dengan

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci

[Tutorial VB6] Bab 4 Penggunaan Data dan Variabel

[Tutorial VB6] Bab 4 Penggunaan Data dan Variabel [Tutorial VB6] Bab 4 Penggunaan Data dan Variabel 1. Buka Microsoft Visual Basic 6.0. 2. Pada jendela New Project, klik Existing Pilih file Latihan.vbp klik Open 3. Tambahkan form baru ke dalam Project,

Lebih terperinci

DESAIN PROCESSOR-16bit SEDERHANA MENGGUNAKAN PERANGKAT LUNAK QUARTUS II V.2

DESAIN PROCESSOR-16bit SEDERHANA MENGGUNAKAN PERANGKAT LUNAK QUARTUS II V.2 e-journal Teknik Elektro dan Komputer (23) ISSN: 23-842 DESAIN PROCESSOR-6bit SEDERHANA MENGGUNAKAN PERANGKAT LUNAK QUARTUS II V.2 Oleh: Arie S. M. Lumenta Jurusan Teknik Elektro Fakultas Teknik UNSRAT

Lebih terperinci

Dasar Pemrograman. Visual Studio Program C++ Sederhana. Yoannita, S.Kom.

Dasar Pemrograman. Visual Studio Program C++ Sederhana. Yoannita, S.Kom. Visual Studio 2008 Dasar Pemrograman Program C++ Sederhana. C/C++ C++ merupakan perkembangan leboh lanjut dari C. Bahasa C dikembangkan tahun 1972 oleh Dennis Richie di Bell Telephone laboratories. Oleh

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS 29 BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS Dengan menggunakan Visual Basic 6.0 aplikasi perangkat ajar pengelolaan dan perhitungan ekspresi matematika yang akan dibangun dalam penelitian

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1 Analisis Sistem Keylogger merupakan aplikasi yang digunakan untuk merekam segala aktifitas pada komputer yang berhubungan dengan fungsi keyboard, metode string matching

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 1: Pengenalan Xilinx ISE dan Sistem Masukan-Keluaran 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengenalkan praktikan software Xilinx ISE Webpack

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Penelitian bertujuan untuk merancang sebuah sistem yang dapat melakukan Perancangan Aplikasi Keamanan Data Dengan Metode End Of File (EOF) dan Algoritma

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

BAB IV PENGUJIAN DAN PEMBAHASAN

BAB IV PENGUJIAN DAN PEMBAHASAN BAB IV PENGUJIAN DAN PEMBAHASAN Pada bab ini memuat hasil pengamatan dan analisis untuk mengetahui kinerja dari rangkaian. Dari rangkaian tersebut kemudian dilakukan analisis - analisis untuk mengetahui

Lebih terperinci

BAB III ANALISA MASALAH DAN PERANCANGAN PROGRAM

BAB III ANALISA MASALAH DAN PERANCANGAN PROGRAM BAB III ANALISA MASALAH DAN PERANCANGAN PROGRAM III.1 Analisis Permasalahan Tahapan analisis terhadap suatu sistem dilakukan sebelum tahapan perancangan dilakukan. Adapun tujuan yang dilakukannmya analisis

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

Bab 3 Metode dan Perancangan Sistem

Bab 3 Metode dan Perancangan Sistem Bab 3 Metode dan Perancangan Sistem 3.1 Metode Pengembangan Sistem Pada bagian ini akan dijelaskan tentang metode penelitian yang digunakan dalam pengembangan sistem. Metode penelitian yang dipakai adalah

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Perancangan Sistem Perancangan Simulasi pengendali pintu gerbang Melalui media Bluetooth pada Ponsel bertujuan untuk membuat sebuah prototype yang membuka, menutup

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 24 BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Rangkaian Perancangan system monitoring Thermometer data logger menggunakan Arduino uno, yang berfungsi untuk mengontrol atau memonitor semua aktifitas yang

Lebih terperinci

BAB 5 PEMBUATAN MENU DALAM ORACLE FORMS

BAB 5 PEMBUATAN MENU DALAM ORACLE FORMS BAB 5 PEMBUATAN MENU DALAM ORACLE FORMS Pada aplikasi database, untuk mengakses form dan report yang sudah dibuat, diperlukan menu. Menu dapat menjadi petunjuk bagi user untuk memilih form atau report

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Masalah Simulasi digunakan untuk memperagakan sesuatu sehingga siswa merasa seperti berada dalam keadaan yang sebenarnya. Simulasi banyak digunakan pada

Lebih terperinci