BAB 1. KONSEP DASAR DIGITAL

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB 1. KONSEP DASAR DIGITAL"

Transkripsi

1 1. KONSEP DSR DIGITL Materi : 1. Representasi entuk Digital dan nalog 2. entuk Sinyal Digital 3. Transmisi Serial & Paralel 4. Switch dalam Rangkaian Elektronika 5. Gerbang Logika Dasar 6. Tabel Kebenaran 7. nalisa Pe-waktu-an

2 Pendekatan Representasi Numerik Representasi NLOG : esarannya dinyatakan dalam tegangan, arus atau gerakan meter yang proporsional dengan nilai dari besaran itu sendiri. Contoh : Speedometer sepedamotor (kecepatan sepeda motor ditunjukkan oleh gerakan jarum) Thermostat ruangan (temperatur ruangan ditunjukkan oleh gerakan strip metalnya) Microfon pada peralatan audio

3 Representasi DIGITL esarannya tidak hanya ditunjukkan dalam nilai yang proporsional saja, tetapi juga dalam simbol yang dinamakan digit. Contoh : -Jam digital -Kalkulator -Counter pulsa telepon

4 eda sistim nalog dan Digital Sistim DIGITL Kombinasi dari sejumlah peralatan yang didisain untuk memanipulasi informasi logika atau besaran fisik yang dinyatakan dalam bentuk digital; nilainya berupa nilai-nilai diskrit. Sebagian besar berupa peralatan elektronik, juga bisa mekanik, magnetik atau pneumatik. Contoh : komputer, kalkulator, audio dan video digital, sistim telepon. Sistim NLOG Terdiri dari sejumlah peralatan untuk memanipulasi besaran fisik yang dinyatakan dalam bentuk analog. esarannya bisa bervariasi dalam rentang nilai yang kontinyu. Contoh : audio amplifier, magnetic tape recording, switch lampu

5 Keunggulan Sistem Digital 1. Mudah dalam mendisain 2. Mudah dalam penyimpanan informasi 3. Ketepatan dan akurasi tinggi 4. Pengoperasiannya dapat diprogram 5. Lebih tahan terhadap noise 6. Dapat dibuat dalam chip IC

6 agaimana mem-proses sistim analog menggunakan teknik digital? da3 langkah: 1. Ubah input analog menjadi bentuk digital 2. Lakukan pemrosesan informasi digital 3. Ubah kembali output digital ke dalam bentuk analog temperatur Peralatan /D nalog Ukur nalog Converter Digital Digital Processing Digital D/ Converter nalog Controller Pengaturan temperatur Konversi /D D/

7 entuk Sinyal Digital 5 V Vo(t) iner 1 2 V 0,8 V 0 V Tidak digunakan iner 0 4 V t 0 t 1 t 2 t 3 t 4 t lokasi tegangan dalam sistim digital Timing diagram sinyal digital

8 Rangkaian Digital Didisain untuk menghasilkan output digital yang bervariasi, yaitu 0 atau 1 v i Rangkaian Digital v o v i 0 V 4 V v o Case 1 5 V 0 V t v i 0,5 V 4 V v o Case 2 3,7 V 0 V t

9 Transmisi SERIL dan PRLEL Salah satu aspek penting dalam sistim digital adalah memindahkan data / informasi digital dari satu tempat ke tempat lainnya Transmisi erdasarkan jumlah circuit/kabel yang menghubungkan kedua tempat tersebut, ada 2 jenis transmisi : a. Transmisi Paralel jumlah circuit yang terhubung lebih dari 1 b. Transmisi Serial jumlah circuit yang terhubung hanya 1 Kelebihan/kekurangan : Transmisi Paralel waktu pengiriman cepat, cost mahal Contoh : D-25 (printer) Transmisi Serial waktu pengiriman lambat, cost murah Contoh : internet (RJ-45), US, D 9

10 MS Circuit LS Circuit Transmisi Paralel Circuit OUT T 0 T 1 T 2 T 3 T 4 IN Circuit Transmisi Serial

11 SWITCH DLM RNGKIN ELEKTRONIK Transisi antara level digital 1 dan 0 dapat dibuat dengan men-switch dari level tegangan satu ke level tegangan yang lain (biasanya 0V dan +5V). Komponen-komponen yang bisa dijadikan switch : -Dioda -Transistor Dioda sebagai switch Dioda Dioda 5V arus I K 5V K Forward iased Reverse iased

12 Transistor sebagai switch 5 V RC 5 V RC V out = 0 V V out = 5 V R Transistor ON R Transistor OFF 2 V Sinyal input 0 V

13 GERNG LOGIK DSR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang logika mempunyai satu terminal output dansatuataulebihterminal input Output-outputnya bisa bernilai HIGH (1) atau LOW (0) tergantung dari level-level digital pada terminal inputnya. da 7 gerbang logika dasar : ND, OR, NOT, NND, NOR, Ex-OR, Ex-NOR input Gerbang logika output

14 Gerbang ND Input Input Output X Simbol gerbang logika ND Operasi ND : Jika Input ND keduanya HIGH, maka output X akan HIGH Jika Input atau salah satu atau keduanya LOW maka output X akan LOW X=. Tabel Kebenaran gerbang ND 2 input INPUT Output X

15 Cara kerja Gerbang ND : V 1 1 X =. nalogi elektrikal gerbang ND +5V X=. Gerbang ND dengan switch Transistor

16 Konfigurasi Pin QUD 2-Input ND Gate (7408) V CC Quad da 4 gerbang ND 2 input ND gate gerbang ND 2 input 7408 (74 = TTL), (08 = nomor urut) GND 7 8

17 Gerbang ND dengan banyak Input C D ND 4 input X =..C.D C D E F G H ND 8 input X =..C.D.E.F.G.H INPUT Output C D X Tabel Kebenaran ND-4 input

18 Konfigurasi Pin TRIPLE 3-Input ND Gate (7411) DUL 4-Input ND Gate (7421) 1 14 V CC 1 14 V CC GND 7 8 GND 7 8

19 Gerbang OR Input Input Output X Simbol gerbang logika OR Operasi OR : Jika Input OR atau keduanya HIGH, maka output X akan HIGH Jika Input dan keduanya LOW maka output X akan LOW X= +

20 Tabel Kebenaran gerbang OR 2 input INPUT Output X Konfigurasi Pin QUD 2-Input OR Gate (7432) V CC GND

21 Cara kerja Gerbang OR : V 1 X = + nalogi elektrikal gerbang OR +5V X=+ Gerbang OR dengan switch Transistor

22 Gerbang OR dengan banyak Input C OR 3 input X = ++C C D E F G H OR 8 input X = ++C+D+E+F+G+H Tabel Kebenaran OR-3 input INPUT C Output X

23 Gerbang NOT / INVERTER Input Output X Simbol gerbang logika NOT Operasi NOT : Jika Input HIGH, maka output X akan LOW Jika Input LOW, maka output X akan HIGH X=

24 Tabel Kebenaran gerbang NOT / INVERTER Konfigurasi Pin HEX Inverting Gate (7404) INPUT Output X V CC GND 7 8

25 Gerbang NND Input Input Output X TU Input Input Output X Simbol gerbang logika NND Operasi NND : Merupakan Inversi (kebalikan) dari operasi ND Jika Input ND keduanya HIGH, maka output X akan LOW Jika Input atau atau keduanya LOW, maka output X akan HIGH X=.

26 Tabel Kebenaran gerbang NND INPUT Output X Konfigurasi Pin QUD 2-input NND Gate (7400) V CC GND

27 Gerbang NND dengan banyak Input C NND 3 input X =..C C D E F G H NND 8 input X =..C.D.E.F.G.H Tabel Kebenaran NND-3 input INPUT C Output X

28 Gerbang NOR Input Input Output X TU Input Input Output X Simbol gerbang logika NOR Operasi NOR : Merupakan Inversi (kebalikan) dari operasi OR Jika Input dan keduanya LOW, maka output X akan HIGH Jika Input OR salah satu atau keduanya HIGH, maka output X akan LOW X=+

29 Konfigurasi Pin Tabel Kebenaran gerbang NOR INPUT Output X QUD 2-Input NOR Gate (7402) V CC GND

30 Gerbang Ex-OR Input Input Output X Simbol gerbang logika Ex-OR Operasi Ex-OR : Ex-OR adalah kependekan dari Exclusive OR Jika salah satu dari kedua inputnya HIGH (bukan kedua-duanya), maka output X akan HIGH Jika kedua inputnya bernilai LOW semua atau HIGH semua, maka output X akan LOW

31 Tabel Kebenaran Gerbang Ex-OR INPUT OUTPUT X Persamaan Logika Ex-OR X = + erdasarkan Tabel Kebenaran di atas (yang bernilai output = 1), Ex-OR dapat disusun dari gerbang dasar : ND, OR dan NOT Persamaan EX-OR (dari ND, OR dan NOT) : X = + X X Gerbang Ex-OR dari ND, OR, NOT Simbol logika Ex-OR

32 Konfigurasi Pin QUD 2-Input Exclusive OR Gate (7486) V CC GND 7 8

33 Gerbang Ex-NOR Input Input Output X Simbol gerbang logika Ex-NOR Operasi Ex-NOR : Ex-NOR merupakan kebalikan dari Ex-OR Jika salah satu dari kedua inputnya HIGH (bukan kedua-duanya), maka output X akan LOW Jika kedua inputnya bernilai LOW semua atau HIGH semua, maka output X akan HIGH

34 Tabel Kebenaran Gerbang Ex-NOR INPUT OUTPUT X Persamaan Logika Ex-NOR X = + erdasarkan Tabel Kebenaran di atas (yang bernilai output = 1), Ex-NOR dapat disusun dari gerbang dasar : ND, OR dan NOT Persamaan EX-NOR (dari ND, OR dan NOT) : X = + X X Gerbang Ex-NOR dari ND, OR, NOT Simbol logika Ex-NOR

35 RINGKSN JENIS GERNG LOGIK No NM TIPE IC Simbol Logika X ND 7408 Persamaan 1 X=. Tabel Kebenaran INPUT Output X OR 7432 X X=+ INPUT Output X NOT 7404 INPUT Output X X 0 1 X= NND 7400 X X=. INPUT Output X

36 RINGKSN JENIS GERNG LOGIK cont No NM Simbol Logika TIPE IC 5 NOR 7402 X Persamaan X=+ Tabel Kebenaran INPUT Output X Ex-OR 7486 X X= + INPUT OUTPUT X Ex-NOR X X= + INPUT OUTPUT X

37 TEL KEENRN Sebuah Tabel yang digunakan untuk menganalisa respons output dari gerbang / rangkaian logika berdasarkan kombinasi input-inputnya Terdiri dari 2 bagian : Input dan Output agian input bisa terdiri dua atau lebih variabel, baik variabel input gerbang maupun variabel kontrol (mis : enable, strobe, clock) agian output juga bisa terdiri dari satu atau lebih variabel da 3 var. input (n=3, yaitu X,Y,Z) Jumlah data = 8 (=2 n ) (masing-masing 3 bit) INPUT OUTPUT X Y Z W da 1 var. output, dimana masing-masing data mempunyai nilai 1 atau 0

38 NLIS PE-WKTU-N Cara penganalisaan response output terhadap kombinasi input-inputnya pada periode waktu tertentu, Cara penganalisaaan yang lain adalah dengan Tabel Kebenaran Peralatan yang digunakan disebut : Timing Diagram (Diagram pe-waktu-an). entuk Timing Diagram : INPUT OUTPUT X 1 0 t0 t1 t2 t3 t4 t5

39 Contoh : 1. uatlah timing diagram untuk mendapatkan output dari gerbang ND berikut ini : X Jawab : X 1 0

40 2. uatlah timing diagram untuk mendapatkan output dari gerbang Ex-OR berikut ini : X Jawab : X 1 0

41 Soal Latihan : 1. Sebuah input data mempunyai urutan : Gambarkan bentuk gelombang dari data input tersebut dalam representasi sinyal digital. 2. Sebutkan 3 jenis aplikasi yang menggunakan teknologi digital. 3. uat Tabel Kebenaran untuk gerbang ND-3 input berikut ini : C X

42 4. uat Tabel Kebenaran untuk gerbang NOR-4 input berikut ini : C D X 5. uat Timing Diagram untuk output X dari gerbang OR-3 input berikut ini : C X C 1 0

GERBANG LOGIKA DASAR

GERBANG LOGIKA DASAR GERNG LOGIK DSR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang logika mempunyai satu terminal output dansatuataulebihterminal input Output-outputnya bisa bernilai

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Percobaan 9 Gerbang Gerbang Logika

Percobaan 9 Gerbang Gerbang Logika Percobaan 9 Gerbang 9. Tujuan : Setelah mempraktekkan Topik ini, anda diharapkan dapat : Mengetahui macam-macam Gerbang logika dasar dalam sistem digital. Mengetahui tabel kebenaran masing-masing gerbang

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

BAB 2 GERBANG LOGIKA & ALJABAR BOOLE

BAB 2 GERBANG LOGIKA & ALJABAR BOOLE SISTEM DIGITL 16 2 GERNG LOGIK & LJR OOLE Gerbang Logika (Logical Gates) atau gerbang digital merupakan komponen dasar elektronika digital. erbeda dengan komponen elektronika analog yang mempunyai tegangan

Lebih terperinci

BAB III GERBANG LOGIKA BINER

BAB III GERBANG LOGIKA BINER III GERNG LOGIK INER 3. ljabar oole Pada abad ke-9 George oole memperkenalkan operasi hitung matematika dalam bentuk huruf abjad dan memperkenalkan simbol tertentu untuk hubungan seperti tanda tambah (+)

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

MODUL 4 GERBANG LOGIKA KOMBINASIONAL

MODUL 4 GERBANG LOGIKA KOMBINASIONAL STMIK STIKOM LIKPPN MODUL 4 GERNG LOGIK KOMINSIONL. TEM DN TUJUN KEGITN PEMELJRN. Tema : Gerbang Logika Kombinasional 2. Fokus Pembahasan Materi Pokok :. Gerbang Logika NND 2. Gerbang Logika NOR 3. Gerbang

Lebih terperinci

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika.

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. 2. GTE GTE LOGIK I. Tujuan. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika merupakan dasar pembentuk

Lebih terperinci

KATA PENGANTAR. Segala puji bagi Allah yang telah melimpahkan rahmat dan hidayah-nya,

KATA PENGANTAR. Segala puji bagi Allah yang telah melimpahkan rahmat dan hidayah-nya, KT PENGNTR Segala puji bagi llah yang telah melimpahkan rahmat dan hidayah-nya, sehingga penulisan makalah yang berjudul TEKNIK DIGITL KOMPUTER ini dapat diselesaikan. Penulis mengucapkan terima kasih

Lebih terperinci

GERBANG LOGIKA. Percobaan 1. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Tujuan :

GERBANG LOGIKA. Percobaan 1. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY   Tujuan : Percobaan 1 GERNG LOGIK Oleh : Sumarna, Jurdik Fisika, FMIP, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Membiasakan mengenali letak dan fungsi pin (kaki) pada IC gerbang logika dasar. 2. Memahami cara

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

Hanif Fakhrurroja, MT

Hanif Fakhrurroja, MT Pertemuan 3 Organisasi Komputer Logika Digital Hanif Fakhrurroja, MT PIKSI GNESH, 2013 Hanif Fakhrurroja @hanifoza hanifoza@gmail.com http://hanifoza.wordpress.com Pendahuluan Hanif Fakhrurroja, 2013 http://hanifoza.wordpress.com

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Pembahasan. Representasi Numeris Definisi Sistem Digital Rangkaian Elektronika Definisi Rangkaian Digital Kelebihan Sistem digital

Pembahasan. Representasi Numeris Definisi Sistem Digital Rangkaian Elektronika Definisi Rangkaian Digital Kelebihan Sistem digital Sistem digital Pembahasan Representasi Numeris Definisi Sistem Digital Rangkaian Elektronika Definisi Rangkaian Digital Kelebihan Sistem digital Representasi Numeris Dalam ilmu pengetahuan, teknologi,

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3 1. Kompetensi FAKULTAS TEKNIK No. LST/PTI/PTI6205/02 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

Percobaan 11 RANGKAIAN ANALOG PEMBANGUN GERBANG LOGIKA. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 11 RANGKAIAN ANALOG PEMBANGUN GERBANG LOGIKA. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 11 RNGKIN NLOG PEMNGUN GERNG LOGIK Oleh : Sumarna, Jurdik Fisika, FMIP, UN E-mail : sumarna@uny.ac.id Tujuan : 1. Menyusun gerbang logika dari komponen diskrit, 2. Mengamati hubungan antara keadaan

Lebih terperinci

BAB V DISAIN RANGKAIAN LOGIKA

BAB V DISAIN RANGKAIAN LOGIKA V DISIN RNGKIN LOGIK Pada ab ini akan dipelajari prosedur-prosedur dasar yang digunakan dalam mendesain rangkaian-rangkaian logika apabila persyaratan-persyaratan yang diinginkan diberikan. Persyaratan-persyaratan

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang BAB I PENDAHULUAN A. Latar Belakang Masalah Gerbang Logika merupakan blok dasar untuk membentuk rangkaian elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang harus kita pelajari

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

GERBANG LOGIKA LANJUTAN

GERBANG LOGIKA LANJUTAN 1 GERNG LOGK LNJUTN. Tujuan Kegiatan Praktikum 2 Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Mengetahui tabel kebenaran gerbang logika NND. 2) Menguji piranti hardware gerbang logika

Lebih terperinci

GERBANG LOGIKA DIGITAL

GERBANG LOGIKA DIGITAL LAPORAN PRAKTIKUM ELEKTRONIKA PERCOBAAN 09 GERBANG LOGIKA DIGITAL Disusun oleh : Kelompok : 1 Nama : Achmad Mushoffa 3.31.11.0.01 Agus Bekti Rohmadi 3.31.11.0.02 Alex Samona 3.31.11.0.03 Angger Eka Samekta

Lebih terperinci

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC)

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC) ADC (Analog To Digital Converter) adalah perangkat elektronika yang berfungsi untuk mengubah sinyal analog (sinyal kontinyu) menjadi sinyal digital. Perangkat ADC (Analog To Digital Convertion) dapat berbentuk

Lebih terperinci

GERBANG LOGIKA & SISTEM BILANGAN

GERBANG LOGIKA & SISTEM BILANGAN GERBANG LOGIKA & SISTEM BILANGAN I. GERBANG LOGIKA Gerbang-gerbang dasar logika merupakan elemen rangkaian digital dan rangkaian digital merupakan kesatuan dari gerbang-gerbang logika dasar yang membentuk

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Gambar 3. 1 Diagram blok system digital

Gambar 3. 1 Diagram blok system digital 3.1 Introduction Kebanyakan informasi yang ada di dunia nyata adalah besaran analog. Contohnya tegangan, arus listrik, massa, tekanan, suhu, intensitas cahaya dan lain sebagainya. Namun pada era masa kini

Lebih terperinci

Sistem Digital. Pendahuluan -1- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Pendahuluan -1- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Pendahuluan -1- Missa Lamsani Hal 1 SAP Materi Perkuliahan Sistem Digital Sistem Bilangan dan Pengkodean Dasar Digital Rangkaian Kombinasional Rangkaian Sekuensial Counter dan Register Aplikasi

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

MODUL II GATE GATE LOGIKA

MODUL II GATE GATE LOGIKA MODUL II GTE GTE LOGIK I. Tujuan instruksional khusus. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

GERBANG GERBANG LOGIKA

GERBANG GERBANG LOGIKA GERBANG GERBANG LOGIKA Gerbang-gerbang logika atau dapat juga dinamai rangkaian pintu (gate circuits). Gerbang-gerbang logika ini banyak sekali penerapannya di dunia industri terutama yang digunakan dalam

Lebih terperinci

DIG 04 RANGKAIAN PENJUMLAH

DIG 04 RANGKAIAN PENJUMLAH DIG 04 RNGKIN PENJUMLH 4.1. TUJUN PERCON Mahasiswa mengenal, mengerti, dan memahami : 1. Operasi penjumlahan tak lengkap. 2. Operasi penjumlahan lengkap. 3. Ragam IC penjumlah biner. 4. Operasi penjumlahan

Lebih terperinci

BAB III PERANCANGAN DAN PENGUKURAN

BAB III PERANCANGAN DAN PENGUKURAN BAB III PERANCANGAN DAN PENGUKURAN 3.1 Perancangan Sistem Perancangan mixer audio digital terbagi menjadi beberapa bagian yaitu : Perancangan rangkaian timer ( timer circuit ) Perancangan rangkaian low

Lebih terperinci

SINYAL & RANGKAIAN DIGITAL

SINYAL & RANGKAIAN DIGITAL TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-5 SINYAL & RANGKAIAN DIGITAL disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Sinyal Analog Sinyal

Lebih terperinci

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED 3.1. Rancang Bangun Perangkat Keras Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar 3.1. Sistem ini terdiri dari komputer, antarmuka

Lebih terperinci

Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32

Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32 Rangkaian Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32 Bahasan Representasi Biner Konsep Dasar Elemen Biner Fungsi AND

Lebih terperinci

Kuliah #1 PENGENALAN LOGIKA DAN TEKNIK DIGITAL Denny Darlis Program Studi D3 Teknik Telekomunikasi Fakultas Ilmu Terapan - Universitas Telkom

Kuliah #1 PENGENALAN LOGIKA DAN TEKNIK DIGITAL Denny Darlis Program Studi D3 Teknik Telekomunikasi Fakultas Ilmu Terapan - Universitas Telkom Kuliah #1 PENGENALAN LOGIKA DAN TEKNIK DIGITAL Denny Darlis Program Studi D3 Teknik Telekomunikasi Fakultas Ilmu Terapan - Universitas Telkom Semester Genap 2014/2015 Elektronika Digital merepresentasikan

Lebih terperinci

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJAAR OOLEAN Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan

Lebih terperinci

GERBANG LOGIKA RINI DWI PUSPITA

GERBANG LOGIKA RINI DWI PUSPITA SMKN 3 BUDURN GERBNG LOGIK RINI DWI PUSPIT 207 J L. J E N G G O L O C S I D O R J O 0 BB I PENDHULUN. Deskripsi Relasi logik dan fungsi gerbang dasar merupakan salah satu kompetensi dasar dari mata pelajaran

Lebih terperinci

MODUL I TEGANGAN KERJA DAN LOGIKA

MODUL I TEGANGAN KERJA DAN LOGIKA MODUL I TEGANGAN KERJA DAN LOGIKA I. Tujuan instruksional khusus 1. Menyelidiki Tegangan Kerja dari Integrated Cicuit (IC) Digital keluarga TTL. 2. Membuktikan Tegangan Logika IC Digital keluarga TTL II.

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan BAB 3 PERANCANGAN SISTEM Konsep dasar mengendalikan lampu dan komponen komponen yang digunakan pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan perancangan sistem

Lebih terperinci

BAB I PENGENALAN KONSEP DIGITAL

BAB I PENGENALAN KONSEP DIGITAL BAB I PENGENALAN KONSEP DIGITAL Di dalam science, teknologi, bisnis dan pada semua bidang-bidang ilmu yang lain, selalu berurusan dengan kuantitas. Kuantitas-kuantitas ini diukur, dimonitor, dicatat, dan

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat :

Sasaran Pertemuan3 PERTEMUAN 3 GERBANG LOGIKA OR GATE ANIMATION. - Mahasiswa diharapkan dapat : PERTEMUN 3 GERNG LOGIK - Mahasiswa diharapkan dapat : Sasaran Pertemuan3. Mengerti tentang Gerbang Logika Dasar 2. Mengerti tentang ljabar oolean 3. Mengerti tentang MS (Most significant bit) dan LS (least

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

SMK NEGERI 1 BAURENO

SMK NEGERI 1 BAURENO RANGKAIAN MULTIPLEXER DAN DEMULTIPLEXER SMK NEGERI 1 BAURENO Tahun pelajaran 2016/2017 TEKNIK KOMPUTER JARINGAN/SMKN 1 BAURENO 1 Kata Pengantar Puji syukur kehadirat Tuhan Yang Maha Esa atas segala limpahan

Lebih terperinci

Percobaan 2 GERBANG KOMBINASIONAL DAN KOMPARATOR. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 2 GERBANG KOMBINASIONAL DAN KOMPARATOR. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 2 GERNG KOMINSIONL DN KOMPRTOR Oleh : Sumarna, Jurdik Fisika, FMIP, UN E-mail : sumarna@uny.ac.id Tujuan : 1. Membiasakan mengenali letak dan fungsi pin (kaki) pada IC gerbang logika. 2. Menyusun

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EKO/DEL 214/10 evisi : 02 Tgl : 10 Mei 2010 Hal 1 dari 10 1. Kompetensi Memahami cara kerja ADC (Analog to Digital Converter) dan DAC (Digital to Analog Converter) 2. Sub Kompetensi Memahami cara

Lebih terperinci

PENGENALAN SISTEM DIGITAL

PENGENALAN SISTEM DIGITAL 1 PENGENLN SISTEM DIGITL GERNG LOGIK Gerbang logika adalah piranti dua-keadaan : keluaran dengan nol volt yang menyatakan logika 0 (atau rendah) dan keluaran dengan tegangan tetap yang menyatakan logika

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 28 MODUL III GERBANG LOGIKA & RANGKAIAN KOMBINASIONAL Mata Pelajaran : Teknik Digital Kelas

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip 8 DAC - ADC TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip Menjelaskan rangkaian dasar DAC dengan menggunakan Op-Amp. Menjelaskan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

PERCOBAAN 3a MULTIVIBRATOR

PERCOBAAN 3a MULTIVIBRATOR PERCOBAAN 3a MULTIVIBRATOR 3.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menjelaskan prinsip kerja rangkaian multivibrator sebagai pembangkit clock Membedakan rangkaian

Lebih terperinci

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA Disusun oleh : Nama : Ferdian Cahyo Dwiputro dan Erma Triawati Ch, ST., MT NPM : 16409952 Jurusan

Lebih terperinci

MODUL MATA KULIAH PRAKTIKUM TEKNIK DIGITAL

MODUL MATA KULIAH PRAKTIKUM TEKNIK DIGITAL telk telk telk LBORTORIUM TEKNIK ELEKTRONIK DN TEKNIK DIGITL Sekolah Tinggi Teknologi Telematika Telkom Jl. D.I. Panjaitan 28 Purwokerto Status Revisi : 00 Tanggal Pembuatan : 5 Desember 204 MODUL MT KULIH

Lebih terperinci

IC (Integrated Circuits)

IC (Integrated Circuits) IC (Integrated Circuits) Crystal semikonduktor silikon (chip) yang didalamnya merupakan integritas dari komponen elektronik (representasi rangkaian gerbang logika) Rangkaian didalam IC dihubungkan dengan

Lebih terperinci

ELEKTRONIKA DIGITAL DASAR

ELEKTRONIKA DIGITAL DASAR MODUL PEMBELAJARAN KODE : LIS PTL 47 (P) ELEKTRONIKA DIGITAL DASAR BIDANG KEAHLIAN : KETENAGALISTRIKAN PROGRAM KEAHLIAN : TEKNIK PEMANFAATAN ENERGI PROYEK PENGEMBANGAN PENDIDIKAN BERORIENTASI KETERAMPILAN

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA Mata Kuliah rsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 10 -- This presentation is revised by H Digital Principles and pplications, Leach- Malvino, McGraw-Hill dhi

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas mengenai teori teori yang mendasari perancangan dan perealisasian inductive wireless charger untuk telepon seluler. Teori-teori yang digunakan dalam skripsi

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

Rangkaian Logika. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Rangkaian Logika. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang: Deskripsi, tujuan, sasaran dan materi kuliah TSK205 Sistem

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI. Blok diagram carrier recovery dengan metode costas loop yang

BAB III PERANCANGAN DAN REALISASI. Blok diagram carrier recovery dengan metode costas loop yang BAB III PERANCANGAN DAN REALISASI 3.1 Perancangan Alat Blok diagram carrier recovery dengan metode costas loop yang direncanakan diperlihatkan pada Gambar 3.1. Sinyal masukan carrier recovery yang berasal

Lebih terperinci

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal cara kerja dari peraga 7-segmen 2. Mengenal cara kerja rangkaian

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER)

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) A. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 8 Bit. 2. Mahasiswa dapat merancang rangkaian ADC

Lebih terperinci

DISUSUN OLEH : WAHYU RUDI SANTOSO

DISUSUN OLEH : WAHYU RUDI SANTOSO DISUSUN OLEH : WAHYU RUDI SANTOSO 2016 Kata Pengantar Tiada ungkapan ayng lebih mulia selai ungkapan rasa syukur kehadirat ALLAH SWT. Atas limpah berkahnya, rahmat, taufik dan hidayahnya sehingga saya

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas dasar teori yang berhubungan dengan perancangan skripsi antara lain fungsi dari function generator, osilator, MAX038, rangkaian operasional amplifier, Mikrokontroler

Lebih terperinci

LAPORAN PRAKTIKUM LABORATORIUM DIGITAL

LAPORAN PRAKTIKUM LABORATORIUM DIGITAL LPORN PRKTIKUM LBORTORIUM DIGITL NOMOR PERCOBN : 02 JUDUL PERCOBN : GERBNG UNIVERSL KELS / GROUP : TELKOM- 2B / 02 NM NGGOT : 1. NIS DIN 2. RIEF TRISMORO K. 3. INDH DIN PRTIWI D O S E N : BENN NIXON, Md.

Lebih terperinci

RANGKAIAN MULTIPLEXER

RANGKAIAN MULTIPLEXER RANGKAIAN MULTIPLEXER RANGKAIAN DEMULTIPLEXER HALAMAN SAMPUL Penulis : - Editor materi : Ulfathul Muslimah Editor Bahasa : - Ilustrasi sampul : - Desain dan ilustrasi buku : - Hak cipta 2016, oleh Ulfathul

Lebih terperinci

DISUSUN OLEH : SHELLY PUSPITA WARDANI

DISUSUN OLEH : SHELLY PUSPITA WARDANI DISUSUN OLEH : SHELLY PUSPITA WARDANI Makalah Sistem Komputer Disusun Oleh : Shelly Puspita Wardani Guru Pembimbing : Ivan Arifandi, S.Kom X TKJ-1 SMK Negeri 1 Baureno Tahun Pelajaran 2015/2016 Kata Pengantar

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

Teknologi Implementasi: CMOS dan Tinjauan Praktikal

Teknologi Implementasi: CMOS dan Tinjauan Praktikal Teknologi Implementasi: CMOS dan Tinjauan Praktikal Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

STMIK AMIKOM YOGYAKARTA. Oleh : Nila Feby Puspitasari

STMIK AMIKOM YOGYAKARTA. Oleh : Nila Feby Puspitasari STMIK AMIKOM YOGYAKARTA Oleh : Nila Feby Puspitasari 1. Source (Sumber) - Membangkitkan data untuk ditransmisikan Contoh : telepon dan PC (Personal Computer) 2. Transmitter (Pengirim) - Mengkonversi data

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer MULTIPLEXER Pokok Bahasan :. Pendahuluan 2. Dasar-dasar rangkaian Multipleer. 3. Mendesain rangkaian Multipleer Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami rangkaian Multipleer.

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI BAB II TINJAUAN PUSTAKA DAN LANDASAN TEORI 2 2.1 Tinjauan Pustaka Adapun pembuatan modem akustik untuk komunikasi bawah air memang sudah banyak dikembangkan di universitas-universitas di Indonesia dan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dibahas mengenai perancangan dan realisasi dari perangkat keras maupun perangkat lunak dari setiap modul yang dipakai pada skripsi ini. 3.1. Perancangan dan

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT 39 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras elektronik (hardware) dan pembuatan mekanik Eskalator. Sedangkan untuk pembuatan

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Desain Mesin Penjawab Dan Penyimpan Pesan Telepon Otomatis

Desain Mesin Penjawab Dan Penyimpan Pesan Telepon Otomatis Desain Mesin Penjawab Dan Penyimpan Telepon Otomatis Suherman Jurusan Teknik Elektro Fakultas Teknik Universitas Sumatera Utara ABSTRAK Di negara maju, mesin penjawab telepon (telephone answering machine)

Lebih terperinci

ANTAR MUKA DST-51 DENGAN MODUL AD-0809

ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ADC0809 ADC0809 adalah IC pengubah tegangan analog menjadi digital dengan masukan berupa 8 kanal input yang dapat dipilih. IC ADC0809 dapat melakukan proses konversi

Lebih terperinci