KATA PENGANTAR. Depok, Oktober 2004 Penyusun

Ukuran: px
Mulai penontonan dengan halaman:

Download "KATA PENGANTAR. Depok, Oktober 2004 Penyusun"

Transkripsi

1 KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas Gunadarma. Tujuan dari modul ini adalah agar mahasiswa dapat memahami dan mampu merancang rangkaian digital. Modul Perancangan Sistem Digital Menggunakan Simulator Xilinx terdiri dari 5 pokok bahasan. Dimulai dari pokok bahasan pertama yang membahas tentang simulator Xilinx secara umum, kedua membahas schematic editor dan functional simulation untuk rangkaian digital yang sederhana, dan ketiga mengenai counter, ke-empat bagaimana menentukan suatu keputusan dengan binary counter, dan yang terakhir mengenai outputforming logic design. Kami selaku tim penyusun modul ini menyadari masih terdapat banyak kekurangan dan dengan segala kerendahan hati kami menerima masukan serta kritikan yang bersifat membangun dengan hati yang lapang. Depok, Oktober 2004 Penyusun 61

2 DAFTAR ISI Kata Pengantar...i Daftar Isi...ii B I Pendahuluan Perangkat Lunak Xilinx Proses Perancangan secara umum Tipe Peralatan : FPGA dan CPLD Xilinx Project Manager...4 B II Simulator Xilinx pada Rangkaian Digital Sederhana Disain Rangkaian Digital dengan Xilinx Schematic Editor Functional Simulation Simulasi Gerbang Dasar Penyederhanaan Rangkaian 17 B III COUNTER Counter secara umum Flip-flop State Machine Perancangan Counter.32 B IV MENENTUKAN KEPUTUSAN DENGAN BINARY COUNTER Menentukan keputusan dengan binary counter Perancangan Rangkaian dengan State Editor 52 62

3 B V OUTPUT FORMING LOGIC Output Forming Logic Komponen-komponen Output Forming Logic Perancangan rangkaian digital mesin jamu pilih...67 Daftar Pustaka 63

4 B I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat lunak yang berguna untuk merancang dan mensimulasikan suatu rangkaian digital. Dengan menggunakan Xilink proses perancangan suatu alat atau rangkaian digital melalui proses simulasi rangkaian yang telah dirancang untuk melihat apakah rancangan yang telah dibuat sudah benar atau masih mengandung kesalahan. Gambar 1.1 : Xilinx Foundation Series Sebenarnya tahapan atau proses perancangan alat atau rangkaian digital menggunakan Xilinx sama seperti merancang suatu rangkaian logika secara manual akan tetepi kelebihan menggunakan simulator Xilinx dapat diminimalisasi kesalahan pada proses perancangan. Sebelum mulai merancang rangkaian pada Xilink minimal sudah dilakukan rancangan state diagram atau tabel kebenaran (truth tables) dari spesifikasi rangkaian atau alat yang ingin dibuat. Kalau tidak mempunyai rancangan tersebut, Xilink tidak dapat membantu dalam merancang alat tersebut. 64

5 Untuk perancangan rangkaian digital, Xilink mempunyai tiga cara, yaitu dengan menggunakan State Diagram, HDL (Hardware Description Language) dan Schematic. Dalam perancangan bisa menggunakan salah satu cara saja atau menggabungkan ketiga cara tersebut. Untuk HDL, Xilink dapat menggunakan dua bahasa pemrograman yaitu EL dan VHDL. 1.2 Proses Perancangan Secara Umum Proses perancangan menggunakan simulator Xilinx secara umum dapat dilihat pada gambar 1.2 dimana proses perancangan dapat dibagi menjadi 3 bagian yaitu pertama perancangan rangkaian, kemudian verifikasi hasil rancangan dan proses yang ketiga implementasi rancangan[1]. Gambar 1.2 : Proses perancangan secara umum Perancangan rangkaian pada dasarnya terdiri dari 2 mode yaitu schematic dan Hardware Description Language (HDL). HDL merupakan bahasa pemrograman seperti VHDL, Verilog dan EL. Pada Schematic flow mode dimungkinkan untuk merancang rangkaian yang terdiri dari top-level schematic atau file top-level EL, yang terdiri dari schematic diagram, state machine macro, macro HDL (EL, VHDL atau Verilog), LogiBLOX, Modul generator CORE. Implementasi rancangan pada xilinx dengan meng-compile file rancangan menjadi file konfigurasi yang telah dioptimalisasi dari penggunaan gerbang logika dan interkoneksi (wiring) antar komponen. Download bitstream dapat dilakukan dengan mudah dari PC ke FPGA (menggunakan demoboard Xilinx, XS40 atau XSV) atau pada CPLD (board 65

6 XS95). Kedua peralatan tersebut juga dapat diprogram dalam sistem dengan menghubungkan JTAG atau kabel Xchecker ke peralatan pin yang telah di program. Verifikasi rancangan termasuk didalamnya functional simulation, testing rangkaian, dan timing simulation. Functional simulation dapat dilakukan setelah menggambar rancangan pada schematic editor untuk memverifikasi kebenaran rangkaian. Akan tetapi functional simulation tidak menyediakan timing information seperti delay, race condition, set-up dan hold-time violations. Informasi ini diperoleh dari static timing simulator dan dilakukan setelah rancangan di-compile. Gambar 1.3 menunjukkan proses yang dilakukan pada schematic flow projct. Gambar 1.3 : Schematic flow project [2] 1.3 Tipe Peralatan : FPGA dan CPLD Tipe Programmable logic devices ada dua yaitu field programmable gate array (FPGA) dan complex logic device (CPLD). Peralatan CPLD XC9500 berasitektur PALdan merupakan non-volatile yang mempunyai kinerja yang baik (diatas 250 MHz) dan sangat sesuai untuk rangkaian logika kombinasional dan logika kontrol dengan kompleksitas medium (diatas sekitar gerbang logika). Peralatan FPGA (contoh 66

7 XC4000 series) berasitektur array dan volatile (SRAM) yang digunakan untuk lookup tables (disimpan pada memori SRAM) untuk mengimplementasikan fungsi logika. Perlu dipahami mengenai fungsi logika yang kompleks yang terdiri dari rangkaian kombinasional dan sekuensial, dimana kapasitasnya terbatas oleh jumlah pin input/output dan bukan dari segi kompleksitasnya. FPGA dapat di implementasikan pada gerbang logika diatas sekitar 1 juta gerbang dan beroperasi diatas 150 MHz. XC4000XL dan XC9500XL adalah peralatan 3,3V dengan 3,3V Vcc tetapi mempunyai toleransi I/O sekitar 5V. Peralatan terbentuk dari paket yang bervariasi. Demoboard FPGA atau board XS40 dan XS95 merupakan suatu paket dengan 84 pin PLCC dan terdiri dari : XC4010EPC84, XC4005XLPC84 dan XC95108PC84. Untuk menentukan peralatan mane yang akan digunakan, telitilah board yang tersedia. Informasi lebih detil dari peralatan ini dapat dilihat pada Xilinx Programmable Logic Data book. Pin out dari peralatan XC400 dan XC pin dapat dilihat pada website Xilinx. 1.4 Xilinx Project Manager Program Xilinx dapat dijalankan dengan START/PROGRAM/XILINX FOUNDATION SERIES/XILINX FOUNDATION PROJECT MANAGER atau dengan klik ikon seperti yang terlihat pada gambar 1.4. Gambar 1.4 : Ikon project manager Pada saat mulai menjalankan Xilinx, window Project Manager akan terbuka seperti yang dapat dilihat pada gambar 1.5. Project Manager adalah aplikasi utama yang berfungsi untuk mengatur dan mengintegrasikan semua aplikasi-aplikasi yang terdapat dalam Xilink Foundation Series. Aplikasi - aplikasi tersebut adalah Schematic Editor, HDL Entry, State Editor, Logic simulator dan external third-party programs. 67

8 Gambar 1.5 : Project manager Project manager memberikan akses ke semua tools yang dibutuhkan pada proses perancangan, simulasi dan implementasi projek. Dari project manager window juga dapat membuat project baru, membuka project yang telah dibuat serta menghapus project. Memulai project baru (pada contoh PERC1AND), foundation tool membentuk beberapa file, yaitu ; file konfigurasi project (PDF) yang disebut dengan Project Description File (perc1and.pdf) dan 3 file library Project manager window diatas dibagi menjadi tiga bagian utama : - hierarcy browser - project flowchart - message window Hierarchy Browser Hierarchy Browser menampilkan struktur diagram pohon dari project document ( Project document adalah file utama dari setiap project yang berisi mengenai deskripsi rancangan yang sedang dibuat, yang dapat berupa schematic, state diagram, atau HDL editor. 68

9 Project Flowchart Project Flowchart adalah representasi grafik dari proses rancangan yang berguna untuk menunjukkan langkah-langkah yang terjadi dalam suatu operasi. Walaupun kita hanya menjalankan salah satu aplikasi, misalnya State Editor, secara otomatis Project Manager ikut aktif pula. Bila kita sudah berada didalam Project Manager kita bisa mulai merancang rangkaian digital kita. Alur kerja Project Manager adalah sebagai berikut : 1. Rancangan digital dapat menggunakan salah satu dari HDL Entry, Schematic Editor atau State Editor atau menggunakan ketiga - tiganya. 2. Functional Simulator akan memerikasa apakah rancangan yang dibuat sudah benar atau belum. Bila masih salah kita dapat untuk mengedit kembali rancangan yang telah dibuat sampai kesalahan yang ada diperbaiki semuanya. 3. Setelah itu timing simulation dapat kita jalankan untuk melihat hasil rancangan rangkaian digital kita. 4. Foundation Implementation tools akan meng-compile rancangan yang telah dibuat menjadi bitstream yang sesuai dengan target device yang dipilih (XC9500 atau XC4005 ). 5. Setelah itu dapat dilihat jalannya program yang telah dibuat pada pada papan simulator XS95 atau XS40 yang dihubungkan melalui kabel pararel ke komputer. Untuk langkah 5 dan 6 tidak akan dibahas, karena untuk melakukan langkah-langkah tersebut diperlukan alat tambahan ( XS95 atau XS40 ). Message Window Message Window menampilkan isi dari project log (semua perintah dan berita yang dikirim dan diterima oleh project manager). 69

10 B II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar digital - Mampu menyederhanakan rangkaian digital dan mensimulasikannya 2.1 Disain Rangkaian Digital dengan Xilinx Projek baru dapat dimulai klik File New project. Setelah itu akan muncul window New Project. Kemudian tuliskan nama project dan direktori tempat file project akan disimpan, tipe, chip famili dan chip part number serta device speed. Seperti yang terlihat pada gambar 2.1 dengan nama projek baru PERC1AND dengan direktori C:\active\projects. Gambar 2.1 : New Project Membuka window New Project juga dapat dilakukan dengan klik ikon new project seperti yang terlihat pada gambar 2.1. Setelah klik OK, tampilan Project Manager akan terlihat seperti pada gambar

11 Gambar 2.2 : Projek PERC1AND Pada bagian selanjutnya akan dibahas mengenai schematic editor dan simulasi. 2.2 Schematic Editor Klik Schematic editor untuk bisa memulai menggambar rangkaian sehingga akan terbuka window seperti gambar 2.3, atau dengan klik Tools Schematic editor. Gambar 2.3 : Schematic editor Pada gambar 2.3 dapat dilihat beberapa ikon disamping sebelah kiri gambar. Untuk mulai menyusun rangkaian kita pilih Mode Symbols atau dengan klik ikon symbol toolbox, sehingga akan muncul SC Symbols window seperti yang terlihat pada gambar 2.4. Di dalam SC Symbol window ini kita memilih komponen yang akan kita rangkai. 71

12 Gambar 2.4 : SC Symbols Pada percobaan pertama ini gambar gerbang and dengan dua masukan ( A dan B ) dan satu keluaran (Y) seperti yang terlihat pada gambar 2.5. Gambar 2.5 : Gambar Schematic Editor Gerbang AND Input dan output (A, B dan Y) digambar dengan klik hierarchy connector atau I/O Terminal kemudian ketik terminal name dan type terminal, seperti yang terlihat pada gambar 2.6. Setelah itu gambar wire dengan klik draw wire. Hubungkan input A dan B serta keluaran Y dengan gerbang AND seperti yang terlihat pada gambar

13 Gambar 2.6 : I/O terminal Setelah selesai membuat rangkaian periksa apakah rangkaian sudah benar atau belum, caranya dengan memilih Options Create Netlist setelah itu pilih Options Integrity Test. Jika rangkaian masih ada yang salah maka Xilinx akan menampilkan kesalahan tersebut, sehingga rangkaian dapat diperbaiki sampai benar. Setelah memeriksa kebenaran rangkaian lanjutkan dengan mengirim netlist yang sudah dibuat tadi. Maksud dari pengiriman netlist ini supaya rancangan rangkaian dapat dibaca oleh aplikasi Xilinx yang lain (seperti Simulator). Caranya pilih Options Export Netlist. Export Netlist window akan muncul. Pilih Edit 200 [*.EDN] pada Netlist Format. Setelah itu tekan OK. Dari gambar rangkaian yang telah digambar dapat diperoleh HDL Program (hanya tersedia VHDL). Caranya adalah pilih Options Export Netlist. Kemudian pilih Vhdl [ *.VHD ] pada Netlist Format setelah itu tekan OK. Sekarang dapat dilihat HDL program dari Gambar rangkaian yang telah digambar. Berikut ini adalah listing programnya (dalam VHDL) : -- ACTIVE-CAD-2-VHDL, , Fri Nov 26 02:34: LIBRARY IEEE; USE IEEE.std_logic_1164.all; library x1; use x1.global_signals.all; entity X1 is port ( A : in std_logic; B : in std_logic; 73

14 Y : out std_logic ); end X1; architecture STRUCTURE of X1 is --COMPONENTS component AND2 port ( I0 : in std_logic; I1 : in std_logic; O : out std_logic ); end component; --SIGNALS begin --SIGNAL ASSIGNMENTS --COMPONENT INSTANCES X36_I1 : AND2 port map( I0 => B, I1 => A, O => Y ); end STRUCTURE; 2.3 Functional Simulation Setelah selesai membuat rancangan Schematic sekarang dapat dilihat simulasi Timing Diagram yang dihasilkan oleh rangkaian yang telah dibuat. Caranya adalah meng-klik simulator pada Project Window. Kemudian akan tampak Logic-Simulator Foundation window dan Waveform Viewer Window yang masih kosong. Seperti yang terlihat pada gambar 2.7. Gambar 2.7 : Simulator 74

15 Yang pertama harus lakukan adalah menambahkan input dan output pada Waveform Viewer supaya dapat melakukan simulasi. Caranya adalah pilih Signal Add Signals. Component Selection for Waveform Viewer window akan muncul seperti yang terlihat pada gambar 2.8. Kemudian pilihlah Input dan Output yang akan disimulasikan. Setelah selesai pilih Close. Gambar 2.8 : Component selection for waveform viewer Setelah semua Input dan Output dipilih, tambahkan Stimulator supaya rangkaian dapat disimulasikan. Caranya pilih Signal Add Stimulators. Stimulator Selection window akan muncul seperti yang terlihat gambar 2.9. Gambar 2.9 : Stimulator selection Sekarang rangkaian siap untuk disimulasikan. Untuk itu pilih View Main Toolbox untuk menampilkan Simulator window. Klik step atau long maka Timing Digram akan muncul, seperti yang terlihat pada gambar

16 Gambar 2.10 : Hasil simulasi gerbang And Dari Timing Diagram terlihat hasil simulasi gerbang And yaitu 000, 100, 010, 111 dan seterusnya. Dari timing diagram dapat dilihat bahwa herbang And adalah gerbang yang memberikan keluaran hanya bila semua masukan ada. Dengan kata lain gerbang AND merupakan gerbang semua atau tidak ada ; keluaran hanya terjadi bila semua masukan ada. 2.4 Simulasi Gerbang Dasar Pada bagian ini menggambar semua gerbang logika dasar dan mensimulasikan masing-masing gambar tersebut Gerbang OR Gerbang OR adalah gerbang dimana salah satu atau semua masukan; keluaran terjadi bila salah satu atau semua masukan ada. Gerbang OR memberikan keluaran 1 bila salah satu masukan atau ke dua masukan adalah 1. Lihat gambar 2.11 dan gambar Gambar 2.11 : Schematic editor gerbang OR 76

17 Gambar 2.12 : Simulasi gerbang OR Gerbang NOT Gerbang NOT adalah gerbang logika yang memberikan keluaran tidak sama dengan masukannya. Gerbang NOT disebut juga inverter. Gerbang ini mempunyai sebuah masukan dan sebuah keluaran, yang dilakukannya hanyalah membalik sinyal masukan; jika masukan tinggi, keluaran adalah rendah, dan sebaliknya. Lihat gambar 2.13 dan Gambar 2.13 : Schematic editor gerbang NOT Gambar 2.14 : Simulasi gerbang NOT Gerbang NAND (NOT-AND) Gerbang NAND adalah gerbang AND yang diikuti gerbang NOT dengan simbol seperti pada gambar 2.15 dan simulasi gerbang NAND dapat dilihat pada gambar

18 Gambar 2.15 : Schematic editor gerbang NAND Gambar 2.16 : Simulasi gerbang NAND Gerbang NOR Gerbang NOR adalah gerbang OR yang diikuti gerbang NOT. Lihat gambar 2.17 dan Gambar 2.17 : Schematic editor gerbang NOR Gambar 2.18 : Simulasi gerbang NOR 78

19 2.4.5 Gerbang XOR Gerbang ini mempunyai dua masukan dan satu keluaran. XOR adalah nama lain dari Oreksklusif, disebut demikian karena gerbang XOR memberikan keluaran 1 bila masukan pertama atau masukan kedua adalah 1, namun tidak kedua-duanya. Dengan kata lain, gerbang XOR mempunyai keluaran 1 hanya bila ke dua masukannya berbeda dan keluarannya 0 apabila ke dua masukannya sama. Lihat gambar 2.19 dan Gambar 2.19 : Schematic editor gerbang XOR Gambar 2.20 : Simulasi gerbang XOR Gerbang XNOR Gambar 2.21 : Schematic editor gerbang XNOR Gambar 2.22 : Simulasi gerbang XNOR 79

20 2.5 Penyederhanaan Rangkaian Penyederhanaan rangkaian logika dapat dilakukan dengan beberapa metode seperti metode Peta Karnaugh, metode Maksterm/Minterm, metode Aljabar Boolean dan lain sebagainya. Pada sub bagian ini dengan menggunakan simulator Xilinx dapat di ketahui kebenaren hasil penyederhanaan rangkaian dengan mensimulasikan rangkaian sebelum disederhanakan dan yang setelah disederhanakan, dan kemudian membandingkan apakah timing diagram kedua rangkaian tersebut sama atau tidak Menyederhanakan rangkaian Y = C + C + C + C : Untuk menyederhanakan rangkaian Y = C + C + C + C dapat dilakukan dengan langkah-langkah sebagai berikut : Langkah -1 : gambar rangkaian Y = C + C + C + C pada schematic editor, seperti yang terlihat pada gambar Gambar 2.23 : schematic editor Y = C + C + C + C Langkah -2 : simulasikan rangkaian terlihat pada gambar Y = C + C + C + C, seperti yang 80

21 Gambar 2.24 : hasil simulasi Y = C + C + C + C Langkah -3 : sederhanakan rangkaian Y = C + C + C + C, pada gambar 2.25 penyederhanaan rangkaian menggunakan peta karnaugh. C Y = B Gambar 2.25 : Peta Karnaugh rangkaian Y = C + C + C + C Langkah -4 : gambar rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar Gambar 2.26 : Schematic editor Y = B Langkah -5 : simulasikan rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar

22 Gambar 2.27 : simulasi Langkah -6 : bandingkan hasil simulasi rangkaian sebelum disederhanakan (langkah-2) dengan hasil simulasi rangkaian yang telah disederhanakan (langkah-5). Dari timing diagram gambar 2.27 sama dengan timing diagram gambar 2.24 sehingga dapat disimpulkan bahwa penyederhanaan rangkaian yang dilakukan benar Simulasikan X = dan sederhanakan persamaan serta buktikan hasil penyederhanaan yang dilakukan benar dengan mensimulasikan hasil penyederhanaan persamaan yang diperoleh! Langkah 1 : gambar rangkaian X = pada schematic editor, seperti yang terlihat pada gambar Langkah -2 : simulasikan rangkaianx = , seperti yang terlihat pada gambar Langkah -3 : sederhanakan rangkaian X = , pada gambar 2.30 penyederhanaan rangkaian menggunakan peta karnaugh. 82

23 Gambar 2.28 : schematic editor X = Gambar 2.29 : hasil simulasi X = X= + C + AC D Gambar 2.30 : Peta Karnaugh Langkah -4 : gambar rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar

24 Gambar 2.31 : Schematic editor X= A B + A B C + A C D Langkah -5 : simulasikan rangkaian yang telah disederhanakan, seperti yang terlihat pada gambar Gambar 2.32 : simulasi X= + C + AC D Langkah -6 : bandingkan hasil simulasi rangkaian sebelum disederhanakan (langkah-2) dengan hasil simulasi rangkaian yang telah disederhanakan (langkah-5). Dari timing diagram gambar 2.32 sama dengan timing diagram gambar 2.29 sehingga dapat disimpulkan bahwa penyederhanaan rangkaian yang dilakukan benar. 84

25 2.5.3 Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! Y = Lakukan seperti pada bagian 2.51 dan Langkah 1 : buat Schematic editor rangkaian Y = : Gambar 2.33 : Schematic editor Langkah 2 : simulasikan sehingga diperoleh timing diagramnya (gambar 2.34) Gambar 2.34 : Hasil simulasi Langkah 3 : Sederhanakan rangkaian tersebut (gambar 2.35 menggunakan peta karnaugh) 85

26 Gambar 2.35 : peta karnaugh Y = A B + + BC+ C Langkah 4 : gambar hasil rangkaian yang telah disederhanakan (Y = A B+ + BC+ C) Gambar 2.36 : peta karnaugh Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya Gambar 2.37 : Hasil simulasi 86

27 Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan. Dari kedua hasil simulasi rangkaian sebelum disederhanakan (gambar 2.34) dan yang setelah disederhanakan (gambar 2.37) sama, sehingga proses penyederhanaan yang dilakukan benar Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! X = Langkah 1 : gambar rangkaian diatas pada schematic editor! Langkah 2 : simulasikan sehingga diperoleh timing diagramnya! Langkah 3 : Sederhanakan rangkaian tersebut! Langkah 4 : gambar hasil rangkaian yang telah disederhanakan! Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya! Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan! Simulasikan persamaan berikut ini dan sederhanakanlah persamaan tersebut, buktikanlah bahwa hasil penyederhanaan yang dilakukan benar! Y = C D + Langkah 1 : gambar rangkaian di atas pada schematic editor! Langkah 2 : simulasikan sehingga diperoleh timing diagramnya! Langkah 3 : Sederhanakan rangkaian tersebut! Langkah 4 : gambar hasil rangkaian yang telah disederhanakan! Langkah 5 : simulasikan rangkaian yang telah disederhanakan sehingga diperoleh timing diagramnya! Langkah 6 : bandingkan timing diagram sebelum disederhanakan dengan yang setelah disederhanakan! 87

28 B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik memori, dan pewaktu memegang peranan yang penting. Counter digital mempunyai karakteristik penting yaitu sebagai berikut : 1. Jumlah hitungan maksimum (modulus N-counter) 2. Menghitung ke-atas atau ke-bawah (up atau down - counter) 3. Operasi asinkron atau sinkron 4. Bergerak bebas atau berhenti sendiri Sebagaimana dengan rangkaian sekuensial yang lain, untuk menyusun counter digunakan flip-flop. Counter dapat digunakan untuk menghitung banyaknya clock-pulsa dalam waktu yang tersedia (pengukuran frekuensi), Counter dapat juga digunakan untuk membagi frekuensi dan menyimpan data. Ada dua macam counter, yaitu Asinkronous Counter dan Sinkronous Counter. Asinkronous Counter disebut juga Ripple Through Counter atau Counter Serial (Serial Counter), karena output masing-masing flip-flop yang digunakan akan berubah kondisi dari 0 ke 1 dan sebaliknya secara berurutan, hal ini disebabkan karena flip-flop yang paling ujung dikendalikan oleh sinyal clock, sedangkan sinyal clock untuk flip-flop lainnya berasal dari masing-masing flip-flop sebelumnya. Sedangkan pada counter sinkron, output flip-flop yang digunakan bergantian secara serempak. Hal ini disebabkan karena masing-masing flip-flop tersebut dikendalikan secara serempak oleh sinyal clock. Oleh karena itu Counter Sinkron dapat pula disebut sebagai Counter paralel (Parallel Counter). Gambar 3.1 menunjukan 88

29 Counter Asinkron (Serial Counter) dan gambar 3.2 menunjukkan Counter Sinkron (Parallel Counter). QA(LSB) QB QC J Q J Q J Q J Q A B C D Gambar 3.1 : Counter Asinkron QA(LSB) QB QC J Q J Q J Q J Q A B C D Gambar 3.2 : Counter Sinkron 3.2 Flip-flop flip-flop (multivibrator bistabil) mempunyai keluaran tegangan rendah (0) atau tinggi (1). Keluaran ini tetap rendah atau tinggi selama belum ada masukkan yang merubah keadaan tersebut. Rangkaian yang bersangkutan harus di-drive (dikendalikan) oleh satu masukkan yang disebut pemicu (trigger). Keadaan tersebut akan berubah kembali bila ada masukkan pemicu lagi. Pada gambar 3.3 dapat dilihat rangkaian dasar memori satu bit yang terdiri dari dua buah gerbang NOT (gerbang NAND masukan tunggal) Nand1 dan Nand2, keluaran dari suatu gerbang diumpan balikkan ke masukan pada gerbang yang lain. Kombinasi umpan balik ini disebut dengan flip-flop. 89

30 Gambar 3.3 : flip-flop dasar Salah satu jenis flip-flop adalah flip-flop RS. Flip-flop ini mempunyai dua masukan dan dua keluaran, di mana salah satu keluarannya (y) berfungsi sebagai komplemen. Sehingga flipflop ini disebut juga rangkaian dasar untuk membangkitkan sebuah variabel beserta komplemennya. Flip-flop RS dapat dibentuk dari kombinasi dua gerbang NAND atau kombinasi dua gerbang NOR. PERTAMA R S = 0 0 Ini berarti tidak diterapkan pemicu. Dalam hal ini keluaran y mempertahankan nilai terakhir yang dimilikinya. KEDUA R S = 0 1 Ini berarti bahwa suatu pemicu diterapkan pada masukan S. Hal ini mengeset flip-flop dan menghasilkan keluaran y bernilai 1. KETIGA R S = 1 0 Ini menyatakan bahwa suatu pemicu diterapkan pada masukan R. Hal ini mereset flipflop dan menghasilkan keluaran y bernilai 0. KEEMPAT R S = 1 1 merupakan kondisi masukan terlarang. Kondisi ini berarti menerapkan suatu pemicu ada ke dua masukan S dan R pada saat yang sama. Hal ini merupakan suatu pertentangan karena mengandung pengertian bahwa kita berupaya untuk memperoleh keluaran y yang secara serentak sama dengan 1 dan sama dengan 0. Hal ini tidak masuk akal dan oleh sebab itu masukan ini dinyatakan terlarang. Flip-flop RS dapat dimodifikasi menjadi flip-flop yang dapat dapat diatur 'irama' nya oleh 90

31 clock sperti terlihat pada gambar 3.4. Gambar 3.4 : Diagram logika : (a) flip-flop RS (b) flip-flop RS ber 'clock' Bila clock rendah (0), ke dua gerbang AND tertutup (disabled). Hal ini menjamin bahwa: R S = 00 yang berarti keluaran y tetap pada keadaan terakhir yang dimilikinya. Tetapi bila clock menjadi tinggi (1), ke dua gerbang AND terbuka (enabled). Hal ini memungkinkan sinyal-sinyal S dan R mencapai flip-flop RS. Dengan cara ini, flip-flop akan set atau reset, bergantung pada nilai RS. Oleh sebab itu, flip-flop RS ber-'clock' tidak dapat berubah keadaan sampai berlangsungnya sinyal clock. Penerapan clock pada sebuah flip-flop seperti di atas sangat penting dalam sistem digital berskala besar dengan beratus-ratus flip-flop yang dihubungkan satu sama lain. Clock diterapkan pada semua flip-flop secara serentak; hal ini menjamin bahwa semua flip-flop berubah keadaan pada saat yang sama. Penyerempakan ini sangat penting dalam berbagai sistem digital. 3.3 State Machine State machine merupakan konstruksi logika yang digunakan untuk mendefinisikan sifat sistem yang sederhana. State machine menggunakan kombinasi latch dan gerbang logika untuk sistem yang mempunyai memori. State machine di gambarkan dengan state diagram yang didefinisikan dari state transition table. Contoh state machine yang sederhana dapat dilihat pada gambar

32 Gambar 3.5 : state machine State machine dapat diklasifikasikan menjadi 2 tipe : a. output nya tergantung pada present state yang dikenal dengan Moore machine seperti yang terlihat pada gambar 3.6. b. output yang merupakan kombinasi present state dan input yang lebih dikenal dengan Mealy machine, seperti yang terlihat dari 3.7. Gambar 3.6 : Moore machine Gambar 3.7 : Mealy machine Present state di definisikan pada output memori. Beberapa contoh aplikasi state machine dapat dilihat pada embedded computing system, dimana komputer dirancang untuk menunjukkan beberapa tugas tertentu, seperti lampu merah atau elevator yang bisa dirancang dengan menggunakan pendekatan state machine. Perancangan suatu rangkaian digital akan terasa lebih mudah menggunakan state machine yang dimulai dari mendefinisikan permasalahan yang seharusnya telah diketahui bagaimana cara pemecahannya, contohnya adalah seperti counter sebagai sequential machine. Sebagaimana diketahui jika dihubungkan beberapa flip-flop dengan beberapa cara sehingga membentuk berbagai macam counter, ring counter, Johnson counter dan lain sebagainya. 92

33 Untuk lebih jelasnya lihat contoh berikut display bilangan prima atau penjumlahan naik atau turun. Pertama yang perlu diperhatikan adalah memahami definisi state. Diagram dibawah menunjukkan counter mod-6, yang berguna untuk mengitung detik dan menit. Gambar 3.8 : timing diagram counter mod-6 Misalkan A, B dan C adalah output dari suatu mesin, kemudian masing-masing transisi dari clock di representasikan oleh garis putus-putus yang merupakan transisi dari satu state ke state berikutnya. Contoh diatas merupakan sequential finite state machine yang mempunyai 6 state : (000, 001, 010, 011, 100, 101) dan diulang kembali dari keadaan yang pertama yaitu 000. Langkah pertama yang dilakukan adalah mendefisinikan state dan transisis yang diinginkan seperti pada gambar 3.9. Gambar 3.9 : State diagram Dari gambar 3.9 dan contoh soal yang merupakan sederetan angka (atau biner 000, 001, 010, 011, 100, 101) yang terdiri dari 6 transisi, sehingga gambar 3.9 dirubah menjadi seperti gambar Gambar 3.10 : state diagram 000, 001, 010, 011, 100, 101 State diagram mempunyai 4 komponen yaitu : state, input, output dan transisi. Representasi state diagram dapat dilakukan dengan beberapa cara akan tetapi komponen diagram dapat dilihat seperti pada gambar

34 Gambar 3.11 : komponen diagram Transisi diagram di representasikan dengan garis panah dari satu state ke state berikutnya. Langkah selanjutnya menentukan state table untuk state diagram seperti yang terlihat pada tabel 3.1. Tabel 3.1 : state table Langkah selanjutnya menentukan implementasi atau rancangan dimana keputusan ini tergantung dari masing-masing individu, dan juga terantung pada biaya atau komponen yang tersedia. Pada contoh perancangan ini menggunakan JK flip-flop sehingga sebelumnya dilihat terlebih dahulu tabel kebenaran JK flip-flop seperti yang terlihat pada tabel 3.2. Tabel 3.2 : tabel kebenaran JK FF Langkah selanjutnya kembali pada state table atau tabel 3.1 untuk menentukan input forming logic seperti yang terlihat pada tabel

35 Tabel 3.3 : tabel kebenaran Langkah selanjutnya dari tabel kebenaran diatas diperoleh semua komponen dan untut next step pada proses perancangan untuk menentukan input forming logic untuk masingmasing flip flop. Langkah selanjutnya dalam proses perancangan menentukan persamaan rangkaian digital dengan bantuan Peta-K, seperti yang terlihat pada gambar Gambar 3.12 : Peta-K Dari peta-k dapat diperoleh persamaan rangkaian digital sehingga dapat digambar schematic editor dan diperoleh simulasi dari rangkaian yang telah dirancang untuk dianalisa apakah hasil rangkaian seperti yang diharapkan. Untuk lebih jelasnya akan dibahas lebih detil pada bagian Perancangan Counter Pada bagian ini akan dibahas langkah-langkah perancangan counter yang mengulang sederetan angka. 1. Rancang counter yang mengulang sekuens : 0, 4, 12, 13, 6, 7, 2, 0, 4, 12, 13, 6, 7, 2, Menggunakan : 95

36 a. D Flip-flop Langkah 1 : Membuat state diagram : Gambar 3.13 : State diagram Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas Present next D A D B D C D D Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh D A : 96

37 D B : D C : D D : Langkah 4 : menentukan persamaan rangkaian digital D A =... D B =... D C =... D D =... Langkah 5 : menggambarkan persamaan rangkaian digital pada schematic editor 97

38 Gambar 3.14 : Contoh Schematic editor counter dengan D-FF Langkah 6 : simulasi hasil rangkaian Gambar 3.15 : hasil simulasi counter dengan D-FF Langkah 7 : analisa hasil rangkaian Dari gambar 3.15 dapat dilihat bahwa counter yang telah dirancang dengan benar karena outputnya berupa sekuens : 0, 4, 12, 13, 6, 7, 2, 0, 4, 12, 13, 6, 7, 2, 0 b. JK Flip-flop Langkah 1 : Membuat state diagram Gambar 3.16 : State diagram Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas 98

39 Present next J A K B J B K B J C K C J D K D Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh J A : K A : 99

40 J B : K B : J C : K C : 100

41 J D : K D : Langkah 4 : menentukan persamaan rangkaian digital J A =... K A =... J B =... K B =... J C =... K C =... J D =... K D =... Langkah 5 : gambar persamaan rangkaian digital pada schematic editor Gambar 3.17 : Contoh Schematic editor counter dengan JK-FF 101

42 Langkah 6 : simulasi hasil rangkaian Gambar 3.18 : hasil simulasi counter dengan JK-FF Langkah 7 : analisa hasil rangkaian Dari gambar 3.18 dapat dilihat bahwa counter yang telah dirancang dengan benar karena outputnya berupa sekuens : 0, 4, 12, 13, 6, 7, 2, 0, 4, 12, 13, 6, 7, 2, 0 2. Rancang counter yang mengulang sekuens : 0, 1, 2, 3, 7, 4, 0, 1, 2, 3, 7, 4, dengan menggunakan : a. D-flip-flop Langkah 1 : Membuat state diagram : Gambar 3.19 : State diagram Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas 102

43 Present next D A D B D C Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh D A : D B : D C : 103

44 Langkah 4 : menentukan persamaan rangkaian digital D A =... D B =... D C =... Langkah 5 : menggambarkan persamaan rangkaian digital pada schematic editor Gambar 3.20 : Contoh Schematic editor counter dengan D-FF Langkah 6 : simulasi hasil rangkaian Gambar 3.21 : hasil simulasi counter dengan D-FF Langkah 7 : analisa hasil rangkaian Dari gambar 3.21 dapat dilihat bahwa counter yang telah dirancang dengan benar karena outputnya berupa sekuens : 0, 1, 2, 3, 7, 4, 0, 1, 2, 3, 7, 4 b. JK-flip-flop Langkah 1 : Membuat state diagram Gambar 3.22 : State diagram 104

45 Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas Present next J A K B J B K B J C K C Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh J A : K A : J B : 105

46 K B : J C : K C : Langkah 4 : menentukan persamaan rangkaian digital J A =... K A =... J B =... K B =... J C =... K C =... Langkah 5 : gambar persamaan rangkaian digital pada schematic editor 106

47 Gambar 3.23 : Contoh Schematic editor counter dengan JK-FF Langkah 6 : simulasi hasil rangkaian Gambar 3.24 : hasil simulasi counter dengan JK-FF Langkah 7 : analisa hasil rangkaian Dari gambar 3.24 dapat dilihat bahwa counter yang telah dirancang dengan benar karena outputnya berupa sekuens : 0, 1, 2, 3, 7, 4, 0, 1, 2, 3, 7, 4 3. Rancang counter yang mengulang sekuens : 0, 2, 3, 5, 7, 11, 13, 0, 2, 3, 5, 7, 11, dengan menggunakan : a. D-flip-flop b. JK-flip-flop Ikuti langkah-langkah yang telah dijelaskan pada contoh 1 dan 2 diatas! 107

48 a. D Flip-flop Langkah 1 : Membuat state diagram : Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas Present next D A D B D C D D Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh D A : 108

49 D B : D C : D D : Langkah 4 : menentukan persamaan rangkaian digital D A =... D B =... D C =... D D =... Langkah 5 : menggambarkan persamaan rangkaian digital pada schematic editor Langkah 6 : simulasi hasil rangkaian Langkah 7 : analisa hasil rangkaian 109

50 b. JK Flip-flop Langkah 1 : Membuat state diagram Langkah 2 : menentukan tabel kebenaran berdasarkan state diagram diatas Present next J A K B J B K B J C K C J D K D Langkah 3 : memetakan tabel kebenaran ke dalam peta karnaugh J A : 110

51 K A : J B : K B : J C : 111

52 K C : J D : K D : Langkah 4 : menentukan persamaan rangkaian digital J A =... K A =... J B =... K B =... J C =... K C =... J D =... K D =

53 Langkah 5 : gambar persamaan rangkaian digital pada schematic editor Langkah 6 : simulasi hasil rangkaian Langkah 7 : analisa hasil rangkaian 113

54 B IV MENENTUKAN KEPUTUSAN DENGAN BINARY COUNTER OBYEKTIF : - memahami bagaimana menentukan keputusan dengan binary counter - mampu menggunakan state diagram untuk merancang rangkaian yang lebih kompleks 4.1 Menentukan keputusan dengan binary counter Menentukan keputusan dengan Binary counter (Decision Making with Binary Counters) merupakan komponen state machine yang menggambarkan sifat finite state machine. Tidak semua state machine (state editor) diikuti oleh jalur (path) yang sama dari satu state ke state berikutnya seperti yang telah dibahas pada Bab IV. Keadaan state memungkinkan untuk berinteraksi dengan mesin seperti vending machine yang mempunyai output yang berbeda-beda atau mempunyai dua kemungkinan berdasarkan tipe koin yang dimasukkan atau lampu merah yang mempunyai kemungkinan keadaan yang lebih dari satu ketika walk button ditekan/dijalankan. Sebagai contoh dapat dilihat gambar 4.1 menggunakan counter mod 6 tetapi dengan fitur tambahan dengan satu input. Gambar 4.1 : state editor 114

55 Gambar 4.1 menunjukkan suatu mesin yang digambarkan dengan flowchart simbol state machine dan beberapa variabel, sehingga akan lebih mempermudah perancang untuk mendefinisikan pemecahan permasalahannya dan menuangkannya pada state table. 4.2 Perancangan Rangkaian dengan State Editor Pada bagian ini akan membahas perancangan rangkaian digital dengan state editor dengan merancang 2 rangkaian yang memenuhi state diagram. 1. Merancang rangkaian digital yang memenuhi state diagram gambar 4.2, dimana rangkaian pertama menggunakan D flip-flop dan rangkaian kedua menggunakan JK flip-flop. A 000 D 011 P B 001 C 010 Gambar 4.2 : state diagram a. perancangan menggunakan D flip-flop langkah 1 : menentukan state table Present P Next D A D B D C langkah 2 : memetakan state table pada karnaugh map 115

56 D A : D B : D C : langkah 3 : menentukan persamaan rangkaian digital berdasarkan K-map D A =... D B =... D C =... langkah 4 : gambar rangkaian digital pada schematic editor langkah 5 : simulasi rangkaian langkah 6 : analisa hasil rangkaian 116

57 b. perancangan menggunakan JK flip-flop langkah 1 : menentukan state table Present P next J A K B J B K B J C K C langkah 2 : memetakan state table pada karnaugh map J A : K A : 117

58 J B : K B : J C : K C : langkah 3 : menentukan persamaan rangkaian digital berdasarkan K-map 118

59 J A =... K A =... J B =... K B =... J C =... K C =... langkah 4 : gambar rangkaian digital pada schematic editor langkah 5 : simulasi rangkaian langkah 6 : analisa hasil rangkaian 2. Merancang rangkaian digital yang memenuhi state diagram gambar 4.3, dimana rangkaian pertama menggunakan D flip-flop dan rangkaian kedua menggunakan JK flip-flop. 10 a 000 RS b 001 T 1 c ST 10 a 100 Gambar 4.3 : state diagram c. perancangan menggunakan D flip-flop langkah 1 : menentukan state table 119

60 Present R S T Next D A D B D C langkah 2 : memetakan state table pada karnaugh map D A : D B : D C : 120

61 langkah 3 : menentukan persamaan rangkaian digital berdasarkan K-map D A =... D B =... D C =... langkah 4 : gambar rangkaian digital pada schematic editor langkah 5 : simulasi rangkaian langkah 6 : analisa hasil rangkaian d. perancangan menggunakan JK flip-flop langkah 1 : menentukan state table Present R S T next J A K B J B K B J C K C langkah 2 : memetakan state table pada karnaugh map J A : K A : 121

62 J B : K B : J C : K C : 122

63 langkah 3 : menentukan persamaan rangkaian digital berdasarkan K-map J A =... K A =... J B =... K B =... J C =... K C =... langkah 4 : gambar rangkaian digital pada schematic editor langkah 5 : simulasi rangkaian langkah 6 : analisa hasil rangkaian 123

64 B V OUTPUT FORMING LOGIC OBYEKTIF : - memahami fungsi output forming logic - mampu menggunakan fungsi output forming logic untuk merancang rangkaian digital yang lebih kompleks 5.1 Output Forming Logic Output forming logic hampir sama dengan translasi pada counter akan tetapi Output forming logic mempunyai fungsi lebih untuk perancangan yang glitch-free dan di gunakan untuk masukan yang lebih kompleks ke suatu dekoder yang merupakan basis rangkaian digital berdasarkan output. Sebagai contoh penggunaan 3 bit sebagai kombinasi output dimana 3 bit membangkitkan (generate) single output atau multiple output. Counter mod 6 glitch free dengan keluaran sederetan angka 0, 1, 2, 3, 4 dan 5 dapat dibuat dengan menentukan output forming logic dengan menggunakan karnaugh map. Akan tetapi sebelumnya tentukan terlebih dahulu tabel translasi seperti yang terlihat pada tabel 5.1. Tabel 5.1 : tabel kebenaran Langkah selanjutnya baru membuat karnaugh map untuk X, Y dan Z seperti yang terlihat pada gambar

65 Gambar 5.1 : peta karnaugh 5.2 Komponen-komponen Output Forming Logic Pada bagian ini akan dijelaskan beberapa rangkaian-rangkaian pembangkit pulsa pada output forming logic. Perhatikan gambar 5.2 dan gambar 5.3. a 00 d 10 X SB SC b 01 c 11 Y SB SC Gambar 5.2 : state diagram Clock A B COUNT X Y Gambar 5.3 : timing diagram 125

66 Beberapa rangkaian untuk membangkitkan pulsa untuk output forming logic dapat dilihat pada gambar

67 Gambar 5.4 : Rangkaian pembangkit pulsa output forming logic 127

68 Definisi-definisi transisi state : SB : State beginning SE : State End DSB : Delayed State Beginning DSE : Delayd State End DDSE : Double Delayed State End Sebagai contoh dapat dilihat perancangan state diagram pada gambar 5.5 yang kemudian di translasi ke state translasi. a 000 c R 1 b 100 W SB SC Y DSB DSE d 010 e 101 X DSB SE Z DSB SE Gambar 5.5 : state diagram Tabel 5.2 : State Translasi Present R Next D A D B D C W X Y Z

69 Dari tabel translasi dibuat K-map untuk menentukan persamaan rangkaian logikanya. D A =... D B =... D C =... Persamaan-persamaan yang diperoleh dari K-map digambar pada Schematic editor dan disimulasikan sehingga dapat diketahui kebenaran perancangan rangkaian digital yang telah dilakukan. 129

70 W D Q D Q D Q C Q C Q C Q Clock R Gambar 5.6 : Rangkaian digital 5.3 Perancangan Rangkaian Digital mesin jamu pilih Pada bagian ini akan dibahas suatu projek kecil yaitu membuat rangkaian digital suatu mesin jamu pilih, dengan permasalahan sebagai berikut : Perancangan mesin jamu pilih atau mesin penjual jamu, dimana pembeli dapat memilih 4 jenis jamu, yaitu jamu gadis remaja, srikaton. awet ayu, dan galian rapet yang merupakan jamu-jamu perusahaan Nyonya Meneer. Jamu-jamu ini masing-masing berharga Rp ,- dan mesin ini dapat menerima uang logam Rp. 500,- ataupun uang logam Rp ,-. Dan jika pembeli ingin membatalkan transaksi meskipun telah memasukkan uang logamnya masih dapat dilakukan dan pembeli mendapatkan uang logamnya kembali. Dari permasalahan diatas ada beberapa tahap atau proses perancangan yang harus dilakukan, pertama-tama dilakukan pengidentidikasian masalah. Dimana ditentukan input dan output dari rangkaian. Kemudian dilakukan pengidentifikasian state atau keadaan dilanjutkan dengan penggambaran state diagram. Dari state diagram dapat ditentukan tabel kebenaran. Dengan bantuan K-map dapatlah dibentuk persamaan aljabar Boolean tersederhana untuk sebagai masukan pada D-flip-flop atau disebut sebagai Input forming Logic (IFL), setelah menentukan jenis gelombang outputnya dapat ditentukan output 130

71 forming logic (OFL). Proses selanjutnya menggambarkan hasil persamaan rangkaian digital yang diperoleh dan mensimulasikan rangkaian tersebut. Hasil simulasi dianalisa apakah rangkaian yang telah dibuat sesuai dengan yang diharapkan Identifikasi masalah Permasalahan pada mesin jamu pilih dapat di nyatakan sebagai berikut: Sebagai input dinyatakan dengan : - tidak ada kegiatan TA - untuk pengembalian D - memasukkan uang logam Rp. 500,- E - memasukkan uang logam Rp ,- F - memilih jamu gadis remaja G - memilih jamu srikaton H - memilih jamu awet ayu I - memilih jamu galian rapet J Sebagai output dinyatakan dengan : - mengeluarkan uang kembali V - mengeluarkan jamu gadis remaja W - mengeluarkan jamu srikaton X - mengeluarkan jamu awet ayu Y - mengeluarkan jamu galian rapet Z Identifikasi State Keadaan-keadaan dengan kemungkinan-kemungkian : State a : 1. tidak ada kegiatan, menuju kembali ke state a 2. memasukkan uang Rp. 500,- menuju ke state b 3. memasukkan uang Rp ,- menuju ke state c State b : 1. tidak ada kegiatan, menuju kembali ke state a 2. menekan tomobol input uang kembali, menuju ke state d 3. memasukkan uang Rp. 500,-menuju ke state c 131

72 State c : 1. tidak ada kegiatan, menuju kembali ke state c 2. menekan tombol input uang kembali, menuju ke state b 3.menekan tombol pilihan bahan I, mesin mengeluarkan bahan I, kemudian kembali ke state a 4.menekan tombol pilihan bahan II, mesin mengeluarkan bahan II, kemudian kembali ke state a 5.menekan tombol pilihan bahan III, mesin mengeluarkan bahan III, kemudian kembali ke state a 6.menekan tombol pilihan bahan IV, mesin mengeluarkan bahan IV, kemudian kembali ke state a State d : 1. uang keluar, dan kembali ke state a State diagram Dari keadaan ini, maka dapat digambarkan state diagram di bawah ini : 132

73 5.3.4 tabel kebenaran Dan tabel kebenarannya adalah sebagai berikut : PRESENT INPUT NEXT A B C DA DB DC V W X Y Z 5570

74 5.3.5 Pembentukan Input Forming Logic (Ifl) D A C D B C D C C Dengan melakukan penyederhanaan yang dilakukan dengan mempergunakan K-map akan diperoleh persamaan Input Forming Logic,yaitu input pada D-flip-flop. Selain D- flip-flop. Selain D-flip-flop dapat juga dipergunakan JK-flip-flop. Sehingga di peroleh : D A =... D B =... D C = Output Forming Logic Sebagai Output Forming logic (OFL) dipilih gelombang DSB SE karena rangkaian untuk menghasilkan gelombang output forming logic ini sederhana, yaitu hanya mempergunakan gerbang AND

75 Langkah selanjutnya menentukan persamaan rangkaian dari output forming logic Schematic Editor mesin jamu pilih Persamaan yang diperoleh dari output forming logic di gambar pada schematic editor Simulasi rangkaian mesin jamu pilih Gambar schematic editor di simulasikan Analisa hasil rangkaian Analisa hasil simulasi apakah telah sesuai dengan permasalahan yang telah ditentukan

76 DAFTAR PUSTAKA Anonim, Xilinx Programmable Logic Data book, Xilinx, Inc, San Jose, CA. Anonim, Foundation Series 2.1i User Guide, 25 January Brian Warneke, The CUPL Environment, 9 Januari D. Van den Bout, "The Practical Xilinx Designers Lab Book 1.5", Prentice Hall, Upper Saddle River, John W. Carter, Digital Designing with Programmable Logic Devices, Prentice Hall,

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

BAB V OUTPUT FORMING LOGIC

BAB V OUTPUT FORMING LOGIC BAB V OUTPUT FORMING LOGIC OBYEKTIF : - memahami fungsi output forming logic - mampu menggunakan fungsi output forming logic untuk merancang rangkaian digital yang lebih kompleks 5.1 Output Forming Logic

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : FLIP-FLOP RS FF JK FF D FF T FF FLIP-FLOP Salah satu rangkaian logika

Lebih terperinci

PERTEMUAN 10 RANGKAIAN SEKUENSIAL

PERTEMUAN 10 RANGKAIAN SEKUENSIAL PERTEMUAN 10 RANGKAIAN SEKUENSIAL Sasaran Pertemuan 10 Mahasiswa diharapkan mengerti tentang Rangkaian Sequensial yang terdiri dari : - FLIP FLOP - RS FF - JK FF - D FF - T FF 1 Salah satu rangkaian logika

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counterdigunakan untuk berbagai operasi

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan

BAB I PENDAHULUAN 1.1 Latar Belakang 1.2 Permasalahan Abstrak Pemahaman mahasiswa terhadap mata kuliah Sistem Digital yang merupakan mata kuliah keilmuan dan ketrampilan sampai saat sekarang ini dirasa masih kurang, apalagi materi ini merupakan subjek yang

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

Rangkaian Sequensial. Flip-Flop RS

Rangkaian Sequensial. Flip-Flop RS Rangkaian Sequensial Rangkaian logika di kelompokkan dalam 2 kelompok besar, yaitu rangkaian logika kombinasional dan rangkaian logika sekuensial. Bentuk dasar dari rangkaian logika kombinasional adalah

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON

APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON ORBITH VOL. 13 NO. 2 Juli 2017 : 108 113 APLIKASI JK FLIP-FLOP UNTUK MERANCANG DECADE COUNTER ASINKRON Oleh: Lilik Eko Nuryanto Staf Pengajar Jurusan Teknik Elektro Politeknik Negeri Semarang Jl.Prof.

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

PERCOBAAN 3 FLIP FLOP 1

PERCOBAAN 3 FLIP FLOP 1 PERCOBAAN 3 FLIP FLOP 3.. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Melakukan analisa rangkaian sekuensial dengan SR Flip-flop Mendisain rangkaian sekuensial dengan SR flip-flop

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

FLIP-FLOP (BISTABIL)

FLIP-FLOP (BISTABIL) FLIP-FLOP (BISTABIL) Rangkaian sekuensial adalah suatu sistem digital yang keadaan keluarannya pada suatu saat ditentukan oleh : 1. keadaan masukannya pada saat itu, dan 2. keadaan masukan dan/atau keluaran

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

PERCOBAAN 6 COUNTER ASINKRON

PERCOBAAN 6 COUNTER ASINKRON PERCOBAAN 6 COUNTER ASINKRON 6.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Rangkaian dasar 3-bit Membuat Timing Diagram Counter Membuat MOD-n Membuat Up-Down 6.2.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis Pertemuan ke 4 1 BAB III Sintesis Rangkaian Sekuensial Deskripsi Pada bab ini akan dibahas tentang prosedur sintesis, sintesis diagram keadaan, rangkaian memori terbatas. Manfaat Memberikan kompetensi

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Semester 3 Counter Sinkron 2 menit No. LST/PTI/PTI6205/ Revisi: Tgl: 8 September 24 Page 1 of 5 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung

Lebih terperinci

1). Synchronous Counter

1). Synchronous Counter Counter juga disebut pencacah atau penghitung yaitu rangkaian logika sekuensial yang digunakan untuk menghitung jumlah pulsa yang diberikan pada bagian masukan. Counter digunakan untuk berbagai operasi

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

3.TEORI SINGKAT 3.1. BILANGAN BINER

3.TEORI SINGKAT 3.1. BILANGAN BINER 1 DIGITAL 1. TUUAN Setelah melakukan praktikum ini, praktikan diharapkan telah memiliki kemampuan sebagai berikut : 1.1. Mengerti dan memahami gerbang-gerbang logika (lambang, bentuk, tabel kebenaran,

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

BAB VII DASAR FLIP-FLOP

BAB VII DASAR FLIP-FLOP 89 BAB VII ASAR FLIP-FLOP 1. Pendahuluan Pada bagian sebelumnya telah dibahas tentang rangkaian kombinasional, yang merupakan rangkaian dengan keluaran yang dikendalikan oleh kondisi masukan yang ada.

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

Register & Counter -7-

Register & Counter -7- Sistem Digital Register & Counter -7- Missa Lamsani Hal 1 Register dan Pencacah Register adalah kumpulan elemen-elemen memori yang bekerja bersama sebagai satu unit. Pencacah (counter) adalah merupakan

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock

Output. Input R.Kombinasi Onal. Flip-Flop. Pulsa Clock. Pulsa Clock XII. RANGKAIAN LOGIKA SEKUENSIAL SINKRON A. PENDAHULUAN Input R.Kombinasi Onal Pulsa Clock Flip-Flop Output Pulsa Clock B. LATCHES 1. RS FF =Reset Set Flip -Flop =Bistable Simbol RS FF =One Bit Memory

Lebih terperinci

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER PRODI D3 METROLOGI DAN INSTRUMENTASI JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER SURABAYA

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

Operasi Counting Q 1 Q 2. Pulsa clock Belum ada pulsa Setelah pulsa # Setelah pulsa # 2

Operasi Counting Q 1 Q 2. Pulsa clock Belum ada pulsa Setelah pulsa # Setelah pulsa # 2 BAB IV. COUNTER TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan prinsip dasar Counter Membuat Counter dasar dengan prinsip sekuensial Membedakan operasi dan karakteristik

Lebih terperinci

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Urut-Urutan Pembentukan Flip-Flop Fungsi Boolean

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

Analysis And Design of Digital System

Analysis And Design of Digital System Analysis And Design of Digital System Introduction Synchronous and Asynchronous Operation (1) Synchronous sequential circuits change their states and output values at discrete instants of time, which are

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

FLIP-FLOP. FF-SR merupakan dasar dari semua rangkaian flip flop. FF-SR disusun dari dua gerbang NAND atau dua gerbang NOR. Gambar Simbol SR Flip-Flop

FLIP-FLOP. FF-SR merupakan dasar dari semua rangkaian flip flop. FF-SR disusun dari dua gerbang NAND atau dua gerbang NOR. Gambar Simbol SR Flip-Flop FLIP-FLOP FLIP-FLOP merupakan suatu rangkaian yang terdiri sdari dua elemen aktif (Transistor) yang erjanya saling bergantian. Fungsinya adalah sebagai berikut: 1. Menyimpan bilangan biner 2. Mencacah

Lebih terperinci

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum BAB VII FLIP FLOPS Sejauh ini rangkaian logika yang telah dibahas adalah rangkaian logika kombinatorial yang level-level outputnya pada setiap saat tertentu tergantung kepada level-level yang terdapat

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari

FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari FLIP-FLOP T (Tugas Sistem Digital) Oleh Fitri Anggraini Novia Puspasari JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG BANDAR LAMPUNG 2013 Flip-flop T (T FF) Gambar 1.

Lebih terperinci

PROGRAMMABLE LOGIC CONTROLER (PLC)

PROGRAMMABLE LOGIC CONTROLER (PLC) PROGRAMMABLE LOGIC CONTROLER (PLC) Tujuan Setelah mempelajari modul ini, diharapkan peserta mampu : Memahami fungsi PLC Mampu membuat program PLC Mampu menerapkan PLC untuk menyelesaikan permasalahan kontrol

Lebih terperinci

FLIP - FLOP. Kelompok : Angga Surahman Sudibya ( ) Ma mun Fauzi ( ) Mudesti Astuti ( ) Randy Septiawan ( )

FLIP - FLOP. Kelompok : Angga Surahman Sudibya ( ) Ma mun Fauzi ( ) Mudesti Astuti ( ) Randy Septiawan ( ) FLIP - FLOP Kelompok : Angga Surahman Sudibya (10407113) Ma mun Fauzi (10407527) Mudesti Astuti (10407571) Randy Septiawan (10407687) Rahman Rohim (10407679) JURUSAN TEKNIK ELEKTRO UNIVERSITAS GUNADARMA

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP J-K

Jobsheet Praktikum FLIP-FLOP J-K 1 FLIP-FLOP J-K A. Tujuan Kegiatan Praktikum 10 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP J-K 2) Merangkai rangkaian FLIP FLOP J-K B. Dasar

Lebih terperinci

ELKAHFI 200 TELEMETRY SYSTEM

ELKAHFI 200 TELEMETRY SYSTEM ELKAHFI 200 TELEMETRY SYSTEM User Manual Edisi September 2006 ELKAHFI Design & Embedded System Solution Daftar Isi Pengenalan Elkahfi Telemetry System Pendahuluan 1 Kelengkapan Telemetry System 2 Spesifikasi

Lebih terperinci

REGISTER DAN COUNTER.

REGISTER DAN COUNTER. REGISTER DAN COUNTER www.st3telkom.ac.id Register Register adalah rangkaian yang tersusun dari satu atau beberapa flip-flop yang digabungkan menjadi satu. Flip-Flop disebut juga sebagai register 1 bit.

Lebih terperinci

MODUL I GERBANG LOGIKA DASAR

MODUL I GERBANG LOGIKA DASAR MODUL I GERBANG LOGIKA DASAR I. PENDAHULUAN Gerbang logika adalah rangkaian dengan satu atau lebih masukan tetapi hanya menghasilkan satu keluaran berupa tegangan tinggi ( 1 ) dan tegangan rendah ( 0 ).

Lebih terperinci