PENDAHULUAN SISTEM DIGITAL

Ukuran: px
Mulai penontonan dengan halaman:

Download "PENDAHULUAN SISTEM DIGITAL"

Transkripsi

1 PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian berkembang dapat merangkai melalui software baik secara schematic atau dengan mendiskripsikan fungsi atau bahasa pemrogaman seperti Hardware Description Languages (HDLs), kemudian muncul fungsi yang dapat digunakan menggambarkan rangkaian dalam elektronika digital atau system digital. Contoh pada rangkaian full adder pada gambar 1.1, terlihat cukutp rumit untuk dipelajari, sehingga dengan adanya fungsi dapat mempermudah dalam menyusun suatu rangkaian. F = X + Y.Z Gambar 1.1 Rangkaian Full Adder Kompleksitas rancangan meningkat pesat sehingga Desain manual ditinggalkan Harapannya dapat, membantu dalam mendesain system digital dari yang paling

2 sederhana hingga yang paling kompleks dengan bantuan fungsi, sehingga dapat meminimalkan biaya, dan membantu dalam troubleshooting. Dapat membantu dalam mempermudah menyusun rangkaian elektronika yang selama ini harus menggambar rangkaian, sekarang dapat dilakukan cukup dengan menulis dengan representasi-representasi yang mudah untuk ditulis dan dirumuskan, sehingga memudahkan dalam mendesign suatu rangkaian. Rangkaian design rangkaian aljabar dilihat dari sisi cost, Dari representasi switch aljabar boolean. Didunia elektronika digital dikenal nilai binary yang artinya memiliki dua nilai, yaitu 1/0, on/off, yes/no, true/false. Dimana dapat berarti dialiri listrik atau 5 volt sedangkan 0 berarti tidak ada aliran listrik atau 0 volt, dari sanalah awalnya berkembang rangkaian elektronika. Binary digits itu kemudian disingkat bits. Logical operator dasar dalam system digital adalah Logic function AND yang dinotasikan dengan dot (. ), OR yang dinotasikan dengan tanda tambah ( + ), dan NOT yang dinotasikan dengan tanda overbar ( ) atau tande petik tunggal ( ) atau tanda ( ) sebelum variable. Logic gate akan mengimplementasikan Logic function. Logical operator mengoperasikan nilai binary dan variable binary. Jadi logic function adalah suatu fungsi yang dapat mentransformasi rangkaian, yang disusun sedemikian rupa agar dapat menggambarkan rangkaian berdasarkan binary logical. Contoh 1.1: Logic function operator AND y = a.b dimana dibaca y sama dengan a AND b, operator OR y = a + b dimana dibaca y sama dengan a OR b karena nilainya akan berbeda dengan y sama dengan a ditambah b, operator NOT y = a dimana dibaca y sama dengan NOT Logic function ini sendiri dapat disusun dengan berbagai macam cara diantaranya : Truth table Truth table adalah representasi dasar dari logic function, dimana berupa table yang berisi daftar nilai-nilai fungsi yang mungkin dapat diperoleh dari kombinasi nilai nilai input dan nilai outputnya. Pada n-variabel logic function memiliki truth table dengan 2 n baris

3 Contoh 1.2 : truth table untuk logic operation dasar Contoh 1.3 : Tabel 1.1. Persamaan Logic Function contoh 2 Dari tabel 1.1 diperoleh logic function nya adalah F = ab c + abc + abc Contoh 1.4 : Tabel 1.2. Persaman Logic Function contoh 1 Dari tabel 1.2 diperoleh Logic function-nya F= X + Y.Z

4 dari gambar 1.2, Diimplementasikan pada rangkaian sebagai berikut Contoh 1.5: Gambar 1.2. Implementasi Rangkaian tabel 1. Tabel 1.3. Persamaan Logic Function contoh 3 Dari tabel 1.3 Maka diperoleh logic function nya y = a bc + ab c + abc + abc z = a b c + a bc + ab c + abc Implementasi pada rangkaian, terlihat pada gambar 1.3 : Gambar 1.3 Implementasi rangkaian tabel 1.3

5 Minterm list: on-set Minterm adalah representasi bentuk dari AND dari setiap variable yang ada. Misal ada 2 variabel X dan Y, bentuk minterm nya ada 4 macam yaitu X.Y ; X.Y ; X.Y ; X.Y. Bila diambil dari persamaan truth table 1.3,contoh minterm dapat dilihat pada table 1.4 Pada Minterms : 1 berarti variabelnya Not Complemented 0 berarti variabelnya Complemented. Tabel kebenaran vs minterm pada tiap minterm berubah pada outputnya untuk tiap nilai kombinasi input yang pasti. Tabel 1.4. Tabel kebenaran dan bentuk mintermnya Tabel 1.5. Tabel Kebenaran Output

6 Dari truth table 1.4, pada table 1.5 terlihat representasi minterm pada masingmasing input, sehingga diperoleh nilai fungsi minterm-nya adalah : F AB = m (10,11,13,15). Fungsi tersebut menunjukkan dimana fungsi bernilai 1, yaitu pada urutan binary ke 10, 11, 13, dan 15. Maxterm list: off-set Maxterm adalah bentuk representasi OR dari setiap variable yang ada. Missal ada 2 variabel X dan Y, bentuk maxterm nya ada 4 macam, yaitu X+Y ; X +Y ; X+Y ; X +Y. Bila diambil dari persamaan truth table, contoh maxterm dapat dilihat pada tabel 1.6. Pada Maxterm : 0 berarti variabelnya Not Complemented 1 berarti variabelnya Complemented. Dari truth table, pada table 1.6 maka nilai fungsi maxterm-nya adalah : F AB = m (0,1,2,3,4,5,6,7,8,9,12,14). Fungsi tersebut menunjukkan dimana fungsi bernilai 0, yaitu pada urutan binary ke 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 12, dan 14. Tiap maxterm turn off output untuk tiap kombinasi input yang pasti. Tabel 1.6. Tabel Kebenaran unyuk bentuk Maxtermnya Canonical sum (Sum of Product atau Sum of Minterm) Canonical sum atau biasa dikenal dengan sum of product atau sum of minterm. Canonical sum berisi penjumlahan semua minterm yang ada di truth table. Contoh 1.6 :

7 A = X.Y + X.Y A = Z + X.Y + X.W.Y Canonical product (product of sum atau product of maxterm) Canonical product atau biasa dikenal dengan product of sum atau product of maxterm. Canonical product berisi perkalian semua maxterm yang ada di truth table. Contoh 1.7: A = (X +Y). (X+Y ) A = Z. (X+Y). (W+X+Y ) Sehingga dengan adanya Logic function ini diharapkan dapat mempermudah dalam mendesign rangkaian lebih mudah, dan mengurangi biaya. Dimana Logic function merupakan representasi paling sederhana dalam mendesign rangkaian elektronika digital. b. Waveform representation Waveform representation atau biasa disebut timing diagram merupakan cara untuk menggambarkan sistem digital melalui penggambaran sinyal input dan sinyal output yang dihasilkan dari fungsi seperti yang terlihat pada gambar 1.4. Contoh 1.8 : Gambar 1.4. Timing Diagram Pada keadaan nyata dikenal adanya delay. Delay adalah waktu atau keadaan dimana terjadi transisi antara nilai output sebelumnya ke nilai output

8 selanjutnya karena adanya perubahan nilai input seperti terlihat pada gambar 1.5 (a) dan (b). (a) (b) Gambar 1.5.Timing diagram delay pada gerbang logika Gambar 1.6. Timing Diagram Half Adder Sehingga diperoleh nilai output yang menyimpang untuk beberapa saat, namun akan kembali ke nilai yang seharusnya, kejadian tersebut disebut glitch/hazard. Namun dalam mendesain system digital nilai delay dianggap nol. c. Timing Hazards Hazard kondisi error sementara yang muncul pada output. Penyebabnya adalah waktu tunda propagasi gerbang atau waktu tunda RC Interconnect, karena adanya perubahan sinyal input. Ada 2 jenis hazard yaitu static hazard dan dinamic hazard.

9 Gambar 1.6. Timing Hazard 1. Static Hazard Static hazard atau glitch adalah perubahan sementara output dari keadaan yang seharusnya atau static state. Static hazard ada dua macam : a. Static 1 hazard = output berubah dari 1 ke 0 dan kembali lagi ke 1 b. Static 0 hazard = output berubah dari 0 ke 1 dan kembali lagi ke Dynamic Hazard Dynamic hazard atau bounce adalah output berubah beberapa kali selama satu kali perubahan state.. Dynamic hazard ada dua macam a. Dynamic 0 ke 1 hazard = output berubah dari 0 ke 1 ke 0 ke 1. b. Dynamic 1 ke 0 hazard = output berubah dari 1 ke 0 ke 1 ke 0. d. Block diagram representation Block diagram representation merupakan cara lain dalam menggambarkan suatu system digital dengan menggunakan black boxes dengan hubungan input dan output. Block diagram lebih berfokus pada desain bagaimana menyusun pengkabelan, dan struktur susunan dari system digital seperti terlihat pada gambar Contoh :

10 Half adder : Gambar 1.7. Representasi Block Diagram A B Cin A Sum B F A Cin Cout Sum Cout Gambar 1.8. Block Diagram Half Adder Full adder yang tersusun dari half adder : A A Sum HA B B Carry Cin A B Sum HA Carry Sum Cout Gambar 1.9. Block Diagram Full Adder e. Penyajian fungsi dalam kubus dimensi N Fungsi juga dapat disajikan dalam bentuk n-kubus binary, atau kubus binary berdimensi N. Dimensi kubus bergantung pada jumlah variable dari fungsi, seperti yang ditunjukkan pada gambar literal-literal dituliskan pada masing-

11 masing sudut kubus, dan dapat diganti dengan nilai binary-nya, seperti ab c = 100. Sudut-sudut yang saling bersebelahan harus memiliki perbedaan hanya 1 nilai variable seperti terlihat pada gambar Gambar Representasi Fungsi Logika dengan kubus berdimensi N Contoh 1.8 : Carilah persamaan SOP nya dari representasi kubus pada gambar jawab: Maka diperoleh fungsi F = a.b.c + a.b.c + a.b.c + abc + a.b.c F= a.b + b.c + a.c + a.b Gambar Representasi bentuk Kubus Contoh 1.9 : Pada table 1.7 merupakan table kebenaran suatu rangkaian. Akan dicari representasi kubus dari table kebenaran dari masing-masing fungsi f1 dan f2 tersebut :

12 Tabel 1.7. Tabel rangkaian Maka, dicari kemungkinan minterm-minterm yang dihasilkan, yaitu Selanjutnya direpresentasikan pada kubus dimensi N, untuk masing-masing fungsi f1 dan f2, seperti terlihat pada gambar Gambar Representasi kubus dimensi N f. Rangkaian digital kombinasional dan sekuensial Rangkaian kombinasional merupakan rangkaian outputnya hanya bergantung pada inputnya sekarang, tidak bergantung pada keadaan (state) sistem. Oleh karena itu output = fungsi (input). Tidak memiliki feedback diantara input dan outputnya, output hanya fungsi dari input. Contoh : full adder output (sum, carry out) = fungsi (A, B, carry in) A B Cin Full Adder Sum Cout Gambar Block Diagram Full Adder

13 Rangkaian sekuensial merupakan rangkaian yang outputnya bergantung pada inputnya sekarang dan keadaan (state) sistem sekarang. Oleh karena itu output = fungsi (state, input). Memiliki feedback atara input dan outputnya, sehingga terjadi overlap antara input dan output. Output bergantung pada input dan keadaan (state) system. Output atau keadaan (state) system yang sekarang merupakan funsi dari input dan state sebelumnya. Contoh flip-flop : Gambar Block Diagram Flip-Flop g. Combinational Circuit Analysis Fungsi logika suatu rangkaian dapat memiliki lebih dari satu macam kombinasi input. Analisis rangkaian kombinasional bertujuan untuk mengetahui karakteristik berbagai macam kombinasi input, dan dapat memanipulasi persamaan aljabar untuk menghasilkan struktur rangkaian yang berbeda untuk sebuah fungsi logika. Langkah-langkah untuk menganalisis suatu rangkaian kombinasional adalah : a. Dari sebuah rangkaian kombinasional dengan 2 n input, dapat dianalisis input dan output dari masing masing gerbang yang menyusun rangkaian. b. Selanjutnya membuat sebuah persamaan logika rangkaian dari hasil persamaan masing-masing gerbang-gerbang logika. Contoh : Rangkaian pada gambar 1.15, logic function yang diperoleh seperti yang ditunjukkan pada gambar 1.16

14 Gambar 15. Rangkaian Logika 1 Dari logic function standart tersebut dapat dicari kombinasi input yang lain, yaitu F = ((X + Y ) Z) + (X Y Z ) = (X Z) + (Y Z) + (X Y Z ) Sehingga dapat diperoleh struktur rangkaian yang berbeda namun dengan fungsi dasar yang sama, seperti yang ditunjukkan pada gambar Dimana rangkaian tersebut lebih cepat dari rangkaian sebelumnya, namun lebih mahal dari rangkaian sebelumnya. Gambar 16. Rangkaian Logika Hasil Penyederhanaan Selain itu dapat diperoleh kombinasi input yang lain, seperti : F = X + Y. Z + X. Y. Z = X + Y + X. X + Y + Y. X + Y + Z. Z + X. Z + Y. Z + Z = I. I. X + Y + Z. X + Z. Y + Z. I

15 = X + Y + Z. X + Z. (Y + Z) Sehingga dapat diperoleh struktur rangkaian yang berbeda namun dengan fungsi dasar yang sama, seperti yang ditunjukkan pada gambar 17. Gambar 17. Variasi rangkaian logika Contoh : dari bentuk POS menjadi bentuk SOP F = (X + Y)(X + Z) F = (X + Y)(X + Z) bentuk POS = X X + XZ + X Y + YZ = 0 + XZ + X Y = XZ + X Y bentuk SOP Contoh : dari bentuk SOP menjadi bentuk POS F = A + B CD F = A + B CD bentuk SOP = (A + B ) (A + CD ) = (A + B ) (A + C) (A + D) = (A + B ) (A + C) (A + D) bentuk POS h. Combinational Circuit Synthesis Dianalisis secara functionality, cost, dan performancenya Berbagai macam struktur rangkaian yang diperoleh dari logic function standart dipilih, untuk mendapatkan rangkaian yang paling sederhana fungsi, agar biayanya pun murah dan jumlah gerbang yang digunakan lebih sedikit, namun dengan performa yang maksimal. Contoh : Pada sebagian besar teknologi, gerbang inverting seperti NAND atau NOR dapat lebih cepat dibandingkan dengan gerbang non-inverting seperti AND atau OR. Karena itu pada rangkaian yang ditunjukkan gambar 17 dapat diubah dengan

16 menggunakan NAND,menjadi rangkaian seperti yang ditunjukkan pada gambar 18. Gambar 18. Rangkaian menggunakan gerbang AND-OR dan NAND-NAND TUGAS 1. Membuatrangkaian multilevel gerbang NAND daripersamaan CD(B+C)A+(BC +DE ), (input berurutandarisebelahatasadalaha,b,c,d,e) F = CD ( B + C ) A + ( BC + DE ) F = (ABCD + ACD) + (BC + DE ) F = ACD ( B + 1) + BC + DE F = ACD + BC + DE

17 2. Membuatrangkaian multilevel persamaan w(x+y+z)+xyzkedalamgerbang NAND saja :(input secara berurutan dari atas adalah w,x,y,z) 3.Merubahrangkaianpadagambar 4.4 menjadirangkaian multilevel gerbangnand (input berurutandariatasadalahd,c,b,adan output berurutandariatasadalahz,y,x,w) :

18 3. Membuatrangkaiandaripersamaanberikut, (berurutandariatas A,B,C,D) F(A, B, C, D) = (12, 4, 10, 12, 14, 2) d(a,b, C, D) = (10, 1, 5, 8) AB Persamaan POS menjadi: CD X X 01 X X (A+B +C ).(B+C).D

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

Aljabar Boolean dan Peta Karnough

Aljabar Boolean dan Peta Karnough Aljabar Boolean dan Peta Karnough a. Logic Function minimization Pada rangkaian yang cukup rumit, kombinasi variable di logic function yang diperoleh dari hasil table kebenaran biasanya pun cukup banyak.

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean.

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. Prio Handoko, S. Kom., M.T.I. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. George Boole (ahli matematika asal Inggris) Aljabar yang

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

Tabulasi Quine McCluskey

Tabulasi Quine McCluskey Tabulasi Quine McCluskey Tabulasi Quine McCluskey Penyederhanaan fungsi menggunakan tabulasi atau metode Quine McCluskey. Metode penyederhanaan atau yang sering diesebut dengan metode Quine McCluskey,

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

Logika Matematika Aljabar Boolean

Logika Matematika Aljabar Boolean Pertemuan ke-5 Logika Matematika Aljabar Boolean Oleh : Mellia Liyanthy 1 TEKNIK INFORMATIKA UNIVERSITAS PASUNDAN TAHUN AJARAN 2007/2008 Bentuk Kanonik dan Bentuk baku atau standar Fungsi boolean yang

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Capaian Pembelajaran Mahasiswa mampu menyederhanakan persamaan logika menggunakan Karnaugh Map (K-Map). Mahasiswa mampu

Lebih terperinci

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Sum-of-Product (SOP) Diturunkan dari tabel kebenaran untuk fungsi dengan mempertimbangkan baris

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 --

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 08 -- Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 23/24 STMIK Dumai -- Materi 8 -- Digital Principles and Applications, Leach-Malvino, McGraw-Hill Adhi Yuniarto L.Y. Boolean Algebra. Fasilkom

Lebih terperinci

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya

Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Penyederhanaan Fungsi Logika [Sistem Digital] Eka Maulana, ST, MT, MEng. Universitas Brawijaya Mengapa perlu Penyederhanaan? SEDERHANA Cheaper Smaller Faster Diperlukan MANIPULASI ALJABAR BOOLE Metode:

Lebih terperinci

Pertemuan ke-5 ALJABAR BOOLEAN III

Pertemuan ke-5 ALJABAR BOOLEAN III Pertemuan ke-5 ALJABAR BOOLEAN III Kompetensi Umum Setelah mengikuti perkuliah ini, diharapkan Anda dapat memahami bentuk kanonik dan menuliskan suatu ekspresi aljabar dalam bentuk kanonik. Kompetensi

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) E-mail: mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Aljabar Boolean. Matematika Diskrit

Aljabar Boolean. Matematika Diskrit Aljabar Boolean Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

Aljabar Boolean. Bahan Kuliah Matematika Diskrit

Aljabar Boolean. Bahan Kuliah Matematika Diskrit Aljabar Boolean Bahan Kuliah Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1 Aljabar Boolean Rinaldi Munir/IF25 Mat. Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

Kuliah Sistem Digital Aljabar Boolean

Kuliah Sistem Digital Aljabar Boolean Kuliah Sistem Digital Aljabar Boolean 1 Topik 2 Aljabar Boolean Aturan-2 u/ menentukan logika digital, atau `switching algebra Terkait dengan nilai-2 Boolean 0, 1 Nilai sinyal dinyatakan dengan variabel-2

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

Gerbang gerbang Logika -5-

Gerbang gerbang Logika -5- Sistem Digital Gerbang gerbang Logika -5- Missa Lamsani Hal 1 Gerbang Logika 3 gerbang dasar adalah : AND OR NOT 4 gerbang turunan adalah : NAND NOR XOR XNOR Missa Lamsani Hal 2 Gerbang NAND (Not-AND)

Lebih terperinci

BAB 4. Aljabar Boolean

BAB 4. Aljabar Boolean BAB 4 Aljabar Boolean 1. PENDAHULUAN Aljabar Boolean merupakan lanjutan dari matakuliah logika matematika. Definisi aljabar boolean adalah suatu jenis manipulasi nilai-nilai logika secara aljabar. Contoh

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

Meminimalkan menggunakan K-Map. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Meminimalkan menggunakan K-Map. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Meminimalkan menggunakan K-Map Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Meminimkan ungkapan SOP # A B C F 0 0 0 0 0 1 0 0 1 1 2 0 1 0 1 3 0 1 1 0 4 1 0 0 0 5 1 0 1 1 6 1 1 0 0 7 1 1 1 1 Terkait dengan

Lebih terperinci

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya:

Rangkaian digital yang ekivalen dengan persamaan logika. Misalnya diketahui persamaan logika: x = A.B+C Rangkaiannya: ALJABAR BOOLEAN Aljabar Boolean Aljabar Boolean adalah aljabar yang menangani persoalan-persoalan logika. Aljabar Boolean menggunakan beberapa hukum yang sama seperti aljabar biasa untuk fungsi OR (Y =

Lebih terperinci

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam

yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya (Definisi 2.1 Menurut Lipschutz, Seymour & Marc Lars Lipson dalam 2.1 Definisi Aljabar Boolean Aljabar Boolean dapat didefinisikan secara abstrak dalam beberapa cara. Cara yang paling umum adalah dengan menspesifikasikan unsur unsur pembentuknya dan operasi operasi yang

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel)

LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL. Nama : ALI FAHRUDDIN NIM : DBC Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) LAPORAN AKHIR PRAKTIKUM SISTEM DIGITAL Nama : ALI FAHRUDDIN NIM : DBC 113 046 Kelas : K Modul : IV (Minimisasi Fungsi 3 Variabel) JURUSAN/PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS TEKNIK UNIVERSITAS PALANGKA

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

FPMIPA UPI ILMU KOMPUTER I. TEORI HIMPUNAN

FPMIPA UPI ILMU KOMPUTER I. TEORI HIMPUNAN I. TEORI HIMPUNAN 1. Definisi Himpunan hingga dan Tak hingga 2. Notasi himpuanan 3. Cara penulisan 4. Macam-macam Himpunan 5. Operasi Himpunan 6. Hukum pada Operasi Himpunan 7. Perkalian Himpunan (Product

Lebih terperinci

DEFINISI ALJABAR BOOLEAN

DEFINISI ALJABAR BOOLEAN ALJABAR BOOLEAN DEFINISI ALJABAR BOOLEAN Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan.

( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. ( A + B) C. Persamaan tersebut adalah persamaan rangkaian digital dengan 3 masukan sehingga mempunyai 8 kemungkinan keadaan masukan. Pada aljabar Boolean terdapat hukum-hukum aljabar Boolean yang memungkinkan

Lebih terperinci

Outline. Operasi Logikal. Variabel Biner. Bagian 1: Logika Biner Gerbang Logika Dasar Aljabar Boolean, Manipulasi Aljabar

Outline. Operasi Logikal. Variabel Biner. Bagian 1: Logika Biner Gerbang Logika Dasar Aljabar Boolean, Manipulasi Aljabar Pengantar Sistem Digital Odd semester 2012/2013 RANGKAIAN LOGIKA KOMBINASI BAGIAN 1 : RANGKAIAN GERBANG DAN PERSAMAAN BOOLEAN 2 Outline Bagian 1: Logika Biner Gerbang Logika Dasar Aljabar Boolean, Manipulasi

Lebih terperinci

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan)

MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) MSH1B3 LOGIKA MATEMATIKA Aljabar Boolean (Lanjutan) Dosen: Aniq A Rohmawati, M.Si TELKOM UNIVERSITY JALAN TELEKOMUNIKASI 1, BANDUNG, INDONESIA Latihan 1 Simplify the following Boolean functions using Boolean

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

Aljabar Boolean. Adri Priadana

Aljabar Boolean. Adri Priadana Aljabar Boolean Adri Priadana Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun 854. Boole melihat bahwa himpunan dan logika proposisi mempunyai sifat-sifat yang serupa (kemiripan hukum-hukum

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER Nama Asisten: Yulian Aska NIM: 329 /Mhs S EL-STEI ITB Waktu Pengerjaan Breadboarding: 3/4/23 sampai /4/23 Waktu Pengerjaan pada : 4/6/23 sampai 5/6/23 Laboratorium

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal BAB I PENDAHULUAN 1.1 Latar Belakang Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, literal atau suku suku yang berlebihan. Oleh karena itu fungsi Boolean dapat disederhanakan lebih

Lebih terperinci

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS

REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Prosiding Seminar Nasional Matematika dan Terapannya 2016 p-issn : 2550-038; e-issn : 2550-0392 REPRSENTASI FUNGSI BOOLE PADA GRAF KUBUS Wulan Cahyani Jurusan Matematika, Fakultas Matematika dan Ilmu Pengetahuan

Lebih terperinci

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum

RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum RANGKAIAN D FLIP-FLOP (Tugas Matakuliah Sistem Digital) Oleh Mujiono Afrida Hafizhatul ulum JURUSAN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS LAMPUNG 2013 FLIP FLOP D BESERTA CONTOH

Lebih terperinci

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

K-Map. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom K-Map Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S Teknik Informatika Fakultas Informatika Universitas Telkom September 205 Peta Karnaugh (K-Map) () Sistem dan Logika Digital/205

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

Review Sistem Digital : Aljabar Boole

Review Sistem Digital : Aljabar Boole JURUSAN PENDIDIKAN TEKNIK ELEKTRONIKA FAKULTAS TEKNIK UNY Sem 5 9/ Review Sistem Digital : Aljabar Boole S dan D3 Mata Kuliah : Elektronika Industri 2 x 5 Lembar Kerja Dalam Aljabar Boole, Misalkan terdapat

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

Karnaugh MAP (K-Map)

Karnaugh MAP (K-Map) Karnaugh MP (K-Map) Pokok ahasan :. K-map 2 variabel 2. K-map 3 variabel 3. K-map 4 variabel 4. Penyederhanaan rangkaian dengan k-map Tujuan Instruksional Khusus :.Mahasiswa dapat menerangkan dan memahami

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto siskom-undip SK205 Sistem Digital 1 / 38 Review Kuliah Sebelumnya konsep rangkaian logika telah

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG OLEH : ANANG DASA NOVFOWAN NIP : 3 953 23 TUTWURI HANDAYAN I DEPARTEMEN PENDIDIKAN & KEBUDAYAAN

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET TEKNIK DIGITAL LS 2 : Aljabar Boolean, Teori De Morgan I dan De Morgan II No. LST/EKO/DEL 214/02 Revisi : 04 Tgl : 1 Februari 2012 Hal 1 dari 8 1. Kompetensi Memahami Product hukum aljabar Boolean termasuk hukum De Morgan, dan prinsip Sum of 2. Sub Kompetensi Memahami penerapan

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu,

BAB I PENDAHULUAN. Fungsi Boolean seringkali mengandung operasi operasi yang tidak perlu, BAB I PENDAHULUAN 1.1 Latar Belakang Sebelum ada proses penyederhanaan fungsi, beberapa kalangan seperti mahasiswa, dosen, bahkan ilmuwan yang bergerak dibidang matematik dan informatika merasa kesulitan

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 39 Review Kuliah Sebelumnya

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar. Gambar 4.1 Rangkaian logika dengan ekspresi Booleannya

4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar. Gambar 4.1 Rangkaian logika dengan ekspresi Booleannya BAB IV ALJABAR BOOLEAN 4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar Setiap rangkaian logika, bagaimanapun kompleksnya, dapat diuraikan secara lengkap dengan menggunakan operasi-operasi Boolean

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari

II. TINJAUAN PUSTAKA. disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut dari II. TINJAUAN PUSTAKA Definisi 2.1 Graf Graf G adalah suatu struktur (V,E) dengan V(G) himpunan tak kosong dengan elemenelemenya disebut vertex, sedangkan E(G) (mungkin kosong) adalah himpunan tak terurut

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH13 Konfigurasi Perangkat Keras Komputer ljabar oolean dan Gerbang Logika Dasar 1 10/17/2016 DCH13 Konfigurasi Perangkat Keras Komputer 1 Inti pembelajaran isa menyederhanakan persamaan oolean. isa menghasilkan

Lebih terperinci

Aljabar Boolean. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Aljabar Boolean. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Aljabar Boolean Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom September 2015 Representasi Fungsi Boolean Sistem dan Logika

Lebih terperinci

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL

PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL PENGGUNAAN TABEL KEBENARAN DALAM MERANCANG DESAIN DIGITAL Tommy NIM : 13507109 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung Jl. Ganesha no. 10 Bandung

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

Penyederhanaan Fungsi Boolean

Penyederhanaan Fungsi Boolean Penyederhanaan Fungsi Boolean Contoh. f(x, y) = x y + xy + y disederhanakan menjadi f(x, y) = x + y Penyederhanaan fungsi Boolean dapat dilakukan dengan 3 cara:. Secara aljabar 2. Menggunakan Peta Karnaugh

Lebih terperinci

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto

Kuliah#4 TKC205 Sistem Digital. Eko Didik Widianto & & Kuliah#4 TKC205 Sistem Digital Eko Didik Departemen Teknik Sistem Komputer, Universitas Diponegoro http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Umpan Balik Sebelumnya dibahas tentang implementasi

Lebih terperinci

BAB IV PETA KARNAUGH (KARNAUGH MAPS)

BAB IV PETA KARNAUGH (KARNAUGH MAPS) TEKNIK DIGITAL-PETA KARNAUGH/HAL. 1 BAB IV PETA KARNAUGH (KARNAUGH MAPS) PETA KARNAUGH Selain dengan teorema boole, salah satu cara untuk memanipulasi dan menyederhanakan fungsi boole adalah dengan teknik

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka.

Output b akan ada aliran arus dari a jika saklar x ditutup dan sebaliknya Output b tidak aliran arus dari a jika saklar x dibuka. A. TUJUAN : FAKULTAS TEKNIK Semester 5 LOGIKA KOMBINASIONAL 2 4 5 No. LST/EKA/PTE23 Revisi : Tgl : 7-2-2 Hal dari 22 Setelah selesai pembelajaran diharapkan mahasiswa dapat. Menjelaskan kembali prinsip-prinsip

Lebih terperinci

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT MODUL PRAKTIKUM SISTEM DIGITAL Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT PROGRAM STUDI S TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS TRUNOJOYO MADURA 23-24 KATA PENGANTAR Puji syukur kami panjatkan

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP)

RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) RENCANA PEMBELAJARAN SEMESTER (RPS) DAN RENCANA PELAKSANAAN PEMBELAJARAN (RPP) Mata Kuliah : Elektronika Digital (3 SKS) Kode : ELP 2318 Prasyarat : - Program Studi : Teknik Elektronika (program D-3) Semester

Lebih terperinci

Rangkaian Multilevel

Rangkaian Multilevel Quine Quine Kuliah#5 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Quine Sebelumnya dibahas tentang optimasi rangkaian dengan penyederhanaan

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci