Pengenalan VHDL. [Pengenalan VHDL]

Ukuran: px
Mulai penontonan dengan halaman:

Download "Pengenalan VHDL. [Pengenalan VHDL]"

Transkripsi

1 Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika Serikat dan IEEE mensponsori pengembangan bahasa pemrograman untuk perangkat keras dengan tujuan untuk mengembangkan very high-speed integrated circuit. Sampai saat ini bahasa tersebut tetap digunakan sebagai standar industry untuk desain sistem digital. Selain itu bahasa yang digunakan untuk pemrograman perangkat keras yang digunakan secara luas adalah Verilog. Kedua bahasa tersebut mempunyai kemampuan lebih untuk membuat dan mensimulasikan rangkaian digital yang kompleks. Versi ketiga untuk bahasa HDL adalah ABEL (Advanced Boolean Equation Language) yang mana bahasa ini didesain untuk Programmable Logic Devices (PLD). ABEL mempunyai kemampuan dibawah VHDL serta Verilog dan kurang populer di industry. Meskipun bahasa ini terlihat seperti bahasa pemrograman konvensional, tetapi ada beberapa perbedaan penting. Bahasa pemrograman HDL bersifat parallel dimana untuk perintah yang berhubungan dengan gerbang logika akan dieksekusi secara parallel, segera setelah input diterima. Program HDL menirukan perilaku secara fisik dari sistem digital. Program ini juga menyediakan penggambungan spesifikasi pewaktuan (gate delay) yang baik seperti membuat penggambungan sistem untuk komponen yang berbeda. Pentingnya Penggunaan VHDL Mendesain sebuah rangkaian digital tanpa mengerti dasar gerbang dan flip-flop sangatlah sulit. Secara konvensional mendesain banyak rangkaian gerbang logika dan flip-flop tidak terlepas dari persamaan Bolean, agar didapatkan hasil sebuah rangkaian yang sederhana sehingga lebih efektif. Teknik mendesain dengan Bolean memerlukan pengkabelan sebuah persamaan untuk masing-masing flip-flop data input dan gerbang. Pembuatan persamaan bolean ini tidak dapat digunakan untuk desain rangkaian yang lebih besar dan memerlukan ribuan gerbang dan Flip-flop karena akan membuat persamaan bolean menjadi rumit. Metode untuk mendesain rangkaian logika setelah menggnakan persamaan Bolean adalah dengan menggunakan skema rangkaian gerbang logika. Mendesain

2 dengan skema ini sangatlah mudah dan jelas karena langsung diketahui hubungan antara gerbang satu dengan yang lainnya. Sebagian besar orang lebih menyukai menggunakan skema ini untuk mendesain rangkaian digital. (Sumber : Gambar 7.1. Desain Skema Rangkaian Gerbang Logika Berikut ini adalah gambaran tahapan pembuatan desain sebuah rangkaian logika dengan menggunakan cara-cara konvensional. Perbedaan tahapan desain rangkaian logika konvensional dan dengan konsep VHDL terlihat pada gambar 7.2 dan 7.3.

3 (Sumber : Gambar 7.2 Tahapan Desain Rangkaian Logika Konvensional. Dengan adanya bahasa perangkat keras VHDL ini, metode konvensional diatas dapat diminimalkan, sehingga proses desainnya akan lebih ringan dan cepat. Proses tersebut dipaparkan pada gambar dibawah ini. (Sumber : Gambar 7.3.Tahapan Desain Rangkaian Logika VHDL.

4 B. Terlihat seperti pada gambar 7.3 bahwa proses penggunaan persamaan bolean dan skema akan diabaikan dalam mendesain dengan teknologi VHDL ini, dimana desain langsung dideskripsikan melalui program VHDL yang kemudian dimasukkan dalam sebuah IC. C. B.Mendesain Rangkaian Digital dengan VHDL VHDL merupakan case insensitive, dimana penamaan dan pelabelan harus mulai dengan huruf baik kecil maupun besar sama saja, terdiri dari hanya karakter alfanumerik, dan dapat mengandung underscore, tidak boleh ada karakter lain, tidak boleh mempunyai dua underscore berturutan dan tidak boleh diakhiri dengan underscore. Semua nama dan label yang diberikan di entity dan architecture harus unik, tidak boleh sama. VHDL merupakan bahasa format bebas, yang mengijinkan penggunaan spasi untuk mempermudah pembacaan. Penulisan komen dimulai dengan dan untuk mengakhiri sebuah entity, architecture atau proses sekuensial menggunakan perintah end. Perlu diperhatikan, satu file terdiri dari entity nama file dan nama file harus sesuai dengan nama entity. Deskripsi VHDL terdiri dari dua bagian pernyataan entity dan pernyataan architecture. Entity mendeskripsikan antarmuka (misal masukan dan keluaran), sedangkan architecture mendeskripsikan implementasi rangkaian. Pernyataan entity memerlukan nama dengan menentukan port masukan dan keluaran. Penentuan port mengandung nama, mode, dan tipe data. Mode port terdiri dari 4 jenis, yaitu IN (driver di luar entity dan dapat dibaca), OUT (driver di dalam entity dan tidak dapat dibaca), INOUT (driver di dalam dan di luar entity, dan dapat dibaca), dan BUFFER (driver di dalam entity dan dapat dibaca). Pernyataan Architecture memerlukan nama, biasanya namanya disesuaikan dengan nama modelnya, referensi nama terkait di dalam entity, menentukan fungsi dari entity menggunakan satu atau beberapa jenis implementasi, dan architecture terkait dengan entity, sehingga memungkinkan banyak architecture untuk satu entity, tetapi hanya satu yang terhubung sekali waktu. Model architecture VHDL terdiri dari fungsi functional logic, behavioral terdiri informasi pewaktuan, structural terdiri dari component dan wire, dan physical menentukan informasi paket. Setiap model dapat digunakan untuk mendeskripsikan fungsionalitas dari rangkaian logika. Model bukanlah saling eksklusif, namun saling terkait. Penyambungan dalam VHDL dapat berupa wire atau bus (kumpulan wire). Wire dapat dituliskan sebagai SIGNAL a: STD_LOGIC;, bus (dengan 8 wire) dapat

5 dituliskan sebagai SIGNAL bus8: STD_LOGIC_VECTOR(7 DOWNTO 0);, sedangkan bus (dengan 16 wire) dapat dituliskan dengan SIGNAL bus16: STD_LOGIC_VECTOR(15 DOWNTO 0);. Wire digunakan untuk menghubungkan antar entity dan dapat digunakan dalam model architecture structural. Contoh: ENTITY contoh IS PORT ( x1, x2, x3 : IN BIT ; f : OUT BIT ); END; ARCHITECTURE fungsilogik OF contoh IS BEGIN f <= (x1 AND x2) OR (NOT x2 AND x3) ; END; Penulisan END bisa dituliskan dengan menuliskan END <nama ENTITY> untuk entity, dan END <nama ARCHITECTURE> untuk architecture. C. Membuat Comparator, dekoder dan Enkoder dengan VHDL Software yang digunakan adalah active HDL 1. Comparator entity comparator is port(a : in std_logic; b : in std_logic; aequab : out std_logic); end comparator; architecture RTL of comparator is process

6 if a = b then aequab <= '1'; else aequab <= '0'; end if; end process; end RTL; 2. Multiplexer entity muks21 is port(s: in std_logic_vector (1 downto 0); a,b: in std_logic; mux_out: out std_logic); end; architecture behav of muks21 is mux_out<= a when (s(0)='1') else b when (s(1)='1') else 'Z'; end behav; Listing kedua : entity muks21 is port(s: in std_logic_vector (1 downto 0); a,b: in std_logic; mux_out: out std_logic); end; architecture behav of muks21 is mux_out<= a when (s(0)='1') else b when (s(1)='1') else 'Z'; end behav;

7 3. Demultiplexer entity Demultiplexor is port(i, E, S: in std_logic; O1, O2: in std_logic; ); End Demultiplexor; architecture RTL of Demultiplexor is process O1 <= 1 and E and S; else O2 <= 1 and E and not(s); end process; end RTL; 4. Register use.ieee.std_logic_aruth.all entity reg8 is port ( clk : in std_logic; rst : in std_logic; ena : in_std_logic; d : in_std_logic_vector (7 downto 0); q : out_std_logic_vector (7 downto 0); ); end reg8; Architecture a of reg8 is signal d_s : std_logic_vector (7 downto 0); signal q_s : std_logic_vector (7 downto 0); d_s <= d when ena = 1 else q_s; reg8_bit : process (clk,rst) if (clk event and clk = 1 ) then if (rst = 1 ) then q_s < ;

8 else q_s <- d_s; end if; end process; q <- q_s; end a; 5. Dekoder BCD use IEEE.std_logic_1164.all; entity bcd_7 is port( i: in bit_vector(3 downto 0); o: out std_logic_vector(6 downto 0); ca: out std_logic_vector(3 downto 0)); end bcd_7; architecture RTL of bcd_7 is ca <= "1110"; process(i) case i is when "0000" => o <= " "; when "0001" => o <= " "; when "0010" => o <= " "; when "0011" => o <= " "; when "0100" => o <= " "; when "0101" => o <= " "; when "0110" => o <= " "; when "0111" => o <= " "; when "1000" =>

9 o <= " "; when "1001" => o <= " "; when "1010" => o <= " "; when "1011" => o <= " "; when "1100" => o <= " "; when "1101" => o <= " "; when "1110" => o <= " "; when "1111" => o <= " "; end case; end process; end RTL;

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL Beauty Anggraheny Ikawanty 9 Abstrak Di Indonesia masih banyak penggunaan buka tutup pintu pada bendungan dilakukan secara

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN :

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN : JURNAL PENELITIAN SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA Volume 4, Nomor 1, Januari 2008 ISSN : 1693-9670 JUDUL PENELITIAN 1. Rancang Bangun Sistem Layanan Panduan Wisata Dengan ASP (Active

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

Interfacing i8088 dengan Memori

Interfacing i8088 dengan Memori Interfacing i8088 dengan Memori Memori harus tersedia pada suatu sistem mikroprosesor, baik untuk menyimpan program maupun untuk menyimpan data. Tergantung dari kebutuhan, memori yg dapat digunakan oleh

Lebih terperinci

Kajian Bahasa Deskripsi Perangkat Keras

Kajian Bahasa Deskripsi Perangkat Keras Berkala Fisika ISSN : 1410-9662 Vol. 7, No. 2, April 2004, hal 55 61 Kajian Bahasa Deskripsi Perangkat Keras Catur Edi Widodo Laboratorium Instrumentasi & Elektronika Jurusan Fisika FMIPA Undip Abstrak

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

MODUL PRAKTIKUM S1 LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM

MODUL PRAKTIKUM S1 LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM PELINDUNG Dekan Dr. Ir. Rina Pudji Astuti M.T. Kepala Bidang Keahlian Achmad Rizal, Ssi., MT Koordinator Dosen Teknik Digital Denny Darlis, Ssi., MT Dosen Pembimbing Laboratorium Denny Darlis, S.Si., MT

Lebih terperinci

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TSK304 - Teknik Interface dan Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Dasar-dasar elektronik dan kebutuhan desain mikroprosesor Interkoneksi CPU, memori

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN LOGIKA LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM

MODUL PRAKTIKUM RANGKAIAN LOGIKA LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM PELINDUNG Dekan Dr. Ir. Rina Pudji Astuti M.T. Kepala Bidang Keahlian Achmad Rizal, Ssi., MT Koordinator Dosen Rangkaian Logika Denny Darlis, Ssi., MT Dosen Pembimbing Laboratorium Estananto, M.Sc PELAKSANA

Lebih terperinci

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1 TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Bilangan biner dan bilangan heksadesimal

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM

PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM 63 PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 3, Arif Sasongko 4 1 Fakultas Informatika,

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma

Modul Praktikum FIELD PROGRAMABLE GATE ARRAY. Universitas Gunadarma. Laboratorium Sistem Komputer Lanjut. Universitas Gunadarma Modul Praktikum FIELD PROGRAMABLE GATE ARRAY Universitas Gunadarma By Laboratorium Sistem Komputer Lanjut Universitas Gunadarma Daftar isi : BAB 1 : Schematic... 5 1.1. Rancangan Schematic... 6 1.2. Gerbang

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Secara umum sistem pengendalian ketinggian cairan dalam bentuk level simulator berbasis avr 8535 yang dikendalikan melalui jaringan tcp/ip melalui antarmuka port paralel ini terdiri

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

Teori Algoritma TIPE DATA

Teori Algoritma TIPE DATA Alam Santosa Teori Algoritma Dasar Algoritma TIPE DATA Program komputer adalah deretan perintah untuk memanipulasi data input menjadi informasi yang bermanfaat bagi pengguna (user). Data yang diinput dapat

Lebih terperinci

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. Sabran 1*, Muliadi 2 1,2 Dosen PTA FT Universitas Negeri Makassar * sabran_fh66@yahoo.com ABSTRAK Penelitian

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Teknologi Integrated Circuit (IC) : Menuju Airforce Industry

Teknologi Integrated Circuit (IC) : Menuju Airforce Industry Teknologi Integrated Circuit (IC) : Menuju Airforce Industry Oleh : Kapten Lek Ir. Arwin D.W. Sumari, FSI, FSME, VDBM, SA 1 Era Pra Teknologi IC Evolusi teknologi elektronika diawali dari keinginan manusia

Lebih terperinci

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh Hadha Afrisal, 35448-TE Jurusan Teknik Elektro FT UGM, Yogyakarta 1.1 PENDAHULUAN Telah dutunjukkan pada bab sebelumnya bahwa penyederhanaan

Lebih terperinci

II.4 Keypad II.5 LCD II.6 Pengenalan Perangkat Lunak Visual Basic Pada PC (Server) II.6.1 Integrated Development Environment...

II.4 Keypad II.5 LCD II.6 Pengenalan Perangkat Lunak Visual Basic Pada PC (Server) II.6.1 Integrated Development Environment... ABSTRAK Perkembangan era informasi saat ini, menjadikan komputerisasi sebagai suatu standar untuk mempermudah sistem akuisisi data pada pabrik pengekspor udang. Untuk mengakuisisi data penimbangan setiap

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

Modul 6 : Rangkaian Sekuensial 2

Modul 6 : Rangkaian Sekuensial 2 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 6 : Rangkaian Sekuensial 2 6.1 Tujuan Mahasiswa mampu mengetahui cara kerja Shift Register. 6.2 Alat & Bahan 1. IC 74164 (serial in paralel out) 2. IC

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

REZAN NURFADLI EDMUND NIM.

REZAN NURFADLI EDMUND NIM. MEKATRONIKA Disusun oleh : REZAN NURFADLI EDMUND NIM. 125060200111075 KEMENTERIAN PENDIDIKAN NASIONAL UNIVERSITAS BRAWIJAYA FAKULTAS TEKNIK MALANG 2014 BAB I SIRKUIT DIGITAL 1.1. Definisi Sirkuit Digital

Lebih terperinci

SHIFT REGISTER SERIAL COMMUNICATION. System Digital

SHIFT REGISTER SERIAL COMMUNICATION. System Digital SHIFT REGISTER SERIAL COMMUNICATION System Digital 1 POKOK BAHASAN Shift Registers Definisi Model I/O : Serial, Pararel dan Kombinasi Arah pergeseran : Kiri, Kanan dan dua arah Applikasi/penggunaan Implementasi

Lebih terperinci