Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Ukuran: px
Mulai penontonan dengan halaman:

Download "Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine"

Transkripsi

1 Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com Penggunaan state diagram untuk membuat suatu aplikasi dalam software ISE XILINX memudahkan pengguna untuk membentuk suatu siklus keadaan, tanpa harus mengetahui skematik dan hardware description language (HDL). Kemampuan yang dihasilkan dari aplikasi ini membuat pengguna dapat merespon bentuk keluaran suatu algoritma yang sedang dibuat. Namun, konversi yang dilakukan oleh kompiler mengelompokkan menjadi satu suatu variable dalam bentuk hardware description language (HDL). Contoh sederhana ditampilkan pada gambar 1, untuk membuat lampu lalu lintas sederhana. Gambar 1 State Diagram FSM untuk lampu lalu lintas Prinsip kerja dari FSM ini adalah pada state awal semua keluaran merah, kuning dan hijau bernilai 0, ketika kondisi masukan timer 001 maka akan ke state awal dan akan menset merah dan ketika masukan timer kondisinya 010 maka akan menset kuning dan ketika timer

2 kondisinya 011 maka akan menset hijau dan seterusnya sampai tombol reset ditekan maka akan kembali ke kondisi state0. Hasil konversi state diagram dari gambar 1 ke VHSIC Hardware Description Language (VHDL) ditunjukkan pada listing program 1. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY SHELL_VM IS PORT (CLK,RESET,timer0,timer1,timer2: IN std_logic; hijau,kuning,merah : OUT std_logic); END; ARCHITECTURE BEHAVIOR OF SHELL_VM IS TYPE type_sreg IS (STATE0,STATE1,STATE2,STATE3); SIGNAL sreg, next_sreg : type_sreg; SIGNAL next_bp_hijau,next_bp_kuning,next_merah : std_logic; SIGNAL BP_hijau,BP_kuning: std_logic; PROCESS(CLK,next_sreg, next_bp_hijau, next_bp_kuning, next_merah) IF CLK='1' AND CLK'event THEN sreg <= next_sreg; BP_hijau <= next_bp_hijau; BP_kuning <= next_bp_kuning; merah <= next_merah; PROCESS (sreg,bp_hijau,bp_kuning,reset,timer0,timer1,timer2) next_bp_hijau <= BP_hijau;next_BP_kuning <= BP_kuning; next_merah <= '0'; next_sreg<=state0; IF ( RESET='1' ) THEN next_sreg<=state0; ELSE CASE sreg IS WHEN STATE0 => IF ( timer0='1' AND timer1='0' AND timer2='0' ) THEN next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE

3 ELSE next_sreg<=state0; WHEN STATE1 => IF ( timer0='0' AND timer1='1' AND timer2='0' ) THEN next_sreg<=state2; IF (( BP_hijau='1' )) THEN ELSE ELSE next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE WHEN STATE2 => IF ( timer0='1' AND timer1='1' AND timer2='0' ) THEN next_sreg<=state3; ELSE next_sreg<=state2; IF (( BP_hijau='1' )) THEN ELSE WHEN STATE3 => IF ( timer0='0' AND timer1='0' AND timer2='1' ) THEN next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE

4 ELSE next_sreg<=state3; WHEN OTHERS => END CASE; PROCESS (BP_hijau) IF (( BP_hijau='1' )) THEN hijau<='1'; ELSE hijau<='0'; PROCESS (BP_kuning) IF (( BP_kuning='1' )) THEN kuning<='1'; ELSE kuning<='0'; END BEHAVIOR; LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY VM IS PORT (timer : IN std_logic_vector (2 DOWNTO 0); CLK,RESET: IN std_logic; hijau,kuning,merah : OUT std_logic); END; ARCHITECTURE BEHAVIOR OF VM IS COMPONENT SHELL_VM PORT (CLK,RESET,timer0,timer1,timer2: IN std_logic; hijau,kuning,merah : OUT std_logic); END COMPONENT; SHELL1_VM : SHELL_VM PORT MAP (CLK=>CLK,RESET=>RESET,timer0=>timer(0),timer1=>timer(1), timer2=>timer(2),hijau=>hijau,kuning=>kuning,merah=>merah); END BEHAVIOR; Listing program 1 VHDL dari gambar 1 Sintesis merupakan suatu pemrograman dari suatu hardware description language ke bentuk suatu teknologi. Sintesis dari listing program 1 ke suatu piranti Field Programmable Gate Array (FPGA) menghasilkan duplikasi register dengan 4 states, 16 transitions, 3 masukan dengan clock dan reset, 4 keluaran. Statistik makronya menunjukkan bahwa implementasinya dikonversi

5 menjadi 1 FSM, 5 register dan 5 Flip-flop, sehingga Register transfer logic (RTL) dalam aplikasi FPGAnya membutuhkan 9 slices dari 4656 (0%), 5 Flip-Flop dari 9312 (0%), 17 LUT 4 masukan dari 9312 (0%), 8 IO, 8 bonded IOBs dari 232 (3%), 1 CLKs dari 24 (4%). Register transfer logic (RTL) dari gambar 1 ditunjukkan pada gambar 2. Gambar 2 Register Transfer Logic dari gambar 1 Penentuan enkoding yang digunakan dalam aplikasi state machine sangat mempengaruhi waktu tunda yang dihasilkan untuk perubahan dari state ke state. Enkoding yang digunakan dalam aplikasi state diagram dari gambar 1 adalah enkoding Gray. Dengan demikian, perubahan state yang dihasilkan adalah 000, 001, 011, 010, dan 110. Simulasi sinyal yang dihasilkan untuk mengetahui keadaan dari state ke state untuk aplikasi pada gambar 1 ditunjukkan pada gambar 3. Diagram bentuk gelombang digunakan untuk mencari suatu kebenaran logika yang akan dihasilkan dari aplikasi teknologi FPGA.

6 Gambar 3 Diagram bentuk gelombang dari gambar 1 Waktu periode minimum dari aplikasi gambar 1 sebesar 3,550ns (Frekuensi maksimum: MHz). Daftar Pustaka Pedroni V.A., 2004, Circuit Design with VHDL, MIT Press, London, England. Sjoholm, S. dan Lindh, L., 1997, VHDL for designers, Prentice Hall Europe, Great Britain. Short, K.L., 2009, VHDL for Engineers, Pearson Education, Inc, Upper Saddle River, New Jersey.

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN :

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN : JURNAL PENELITIAN SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA Volume 4, Nomor 1, Januari 2008 ISSN : 1693-9670 JUDUL PENELITIAN 1. Rancang Bangun Sistem Layanan Panduan Wisata Dengan ASP (Active

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL Beauty Anggraheny Ikawanty 9 Abstrak Di Indonesia masih banyak penggunaan buka tutup pintu pada bendungan dilakukan secara

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

Konferensi Nasional Sistem dan Informatika 2011; Bali, November 12, 2011

Konferensi Nasional Sistem dan Informatika 2011; Bali, November 12, 2011 IMPLEMENTASI ALGORITMA SNOW 2.0 DALAM FIELD PROGRAMMABLE GATE ARRAY (FPGA) SEBAGAI CRYPTOPROCESSOR ENKRIPSI/DEKRIPSI DATA PADA FPGA XILINX SPARTAN 3E XC3S500E Agus Mahardika Ari Laksmono, Mohamad Syahral

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl e-jurnal ARODROID, Vol. 2 o.2 Juli 26 E-I : 247-772 Penerapan Finite tate Machine Untuk Merancang Pengendali Motor tepper Menggunakan Vhdl Arief Budijanto Universitas Widya Kartika Email : arief232@yahoo.com

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY Ferry Wahyu Wibowo Dosen STMIK AMIKOM Yogyakarta ferrywahyu@gmail.com Abstrak Telah dibuat rangkaian yang berfungsi

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs.

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs. BABIV ANALISA DAN PEMBAHASAN Pada bab ini akan dibahas mengenai keseluruhan pengujian sistem. Materi pengujian sistem meliputi dua bagian yakni dengan simulasi dan pengujian pada monitor. Simulasi dilakukan

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

Desain dan Implementasi Convolutional Encoder (2, 1, 8) dalam Field Programmable Gate Array (FPGA)

Desain dan Implementasi Convolutional Encoder (2, 1, 8) dalam Field Programmable Gate Array (FPGA) 166 Jurnal Rekayasa Elektrika Vol. 9, No. 4, Oktober 2011 Desain dan Implementasi Convolutional Encoder (2, 1, 8) dalam Field Programmable Gate Array (FPGA) Ferry Wahyu Wibowo Jurusan Teknik Informatika,

Lebih terperinci

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA)

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) 1 IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) Rizki Jumadil Putra, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan ST.,MT. Abstrak Filter FIR

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu. Noise Shaping

BAB 3 PERANCANGAN SISTEM. Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu. Noise Shaping BAB 3 PERANCANGAN SISTEM Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu perancangan Modul Input, Modul FPGA dan Modul Output. Modul Input Digital audio dalam ROM 8 bit Bus

Lebih terperinci

SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I

SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I Irma Yulia Basri 1 1) Universitas Negeri Padang Email : irma_yulia_77@yahoo.com Abstract - Weakness data processing

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array)

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) 1 DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) Lailis Syafa ah, M.Yance Promesta Jurusan Elektro, Fakultas Teknik, Universitas Muhammadiyah Malang Jln.

Lebih terperinci

DESAIN ARSITEKTUR DAN IMPLEMENTASI PENGKODE-PENDEKODE HARD DECISION LDPC MENGGUNAKAN ALGORITMA MESSAGE PASSING PADA FPGA

DESAIN ARSITEKTUR DAN IMPLEMENTASI PENGKODE-PENDEKODE HARD DECISION LDPC MENGGUNAKAN ALGORITMA MESSAGE PASSING PADA FPGA DESAIN ARSITEKTUR DAN IMPLEMENTASI PENGKODE-PENDEKODE HARD DECISION LDPC MENGGUNAKAN ALGORITMA MESSAGE PASSING PADA FPGA Architectural Design and Implementation of Hard decision LDPC Encoding-Decoding

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

SISTEM BILANGAN DIGITAL

SISTEM BILANGAN DIGITAL SISTEM BILANGAN DIGITAL Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com 1. Sistem bilangan

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano A-51 Ainun Jariyah, Suwadi, dan Gamantyo Hendrantoro Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER JURNAL LOGIC. VOL. 16. NO.1. MARET 2016 40 SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER I Gede Suputra Widharma dan Nengah Sunaya Jurusan Teknik Elektro

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Imaduddin Amrullah Muslim 1), R.Rizal Isnanto 2), Eko Didik Widianto 3) Program Studi Sistem Komputer, Fakultas

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3

PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3 PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3 Friendly 1 * 1 Program Studi Teknik Komputer dan Informatika Politeknik Negeri Medan Medan Indonesia Telp: 081370203112

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

MODUL PRAKTIKUM S1 LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM

MODUL PRAKTIKUM S1 LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM PELINDUNG Dekan Dr. Ir. Rina Pudji Astuti M.T. Kepala Bidang Keahlian Achmad Rizal, Ssi., MT Koordinator Dosen Teknik Digital Denny Darlis, Ssi., MT Dosen Pembimbing Laboratorium Denny Darlis, S.Si., MT

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE

DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE Alfie Syahri Fakultas Ilmu Komputer dan Teknologi Informasi, Universitas Gunadarma Jl. Margonda Raya no. 1, Depok 16424, Jawa Barat

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

PERANCANGAN DAN SINTESIS ARSITEKTUR HARDWARE IFFT (INVERSE FAST FOURIER TRANSFORM) 32 TITIK BERBASIS BAHASA PEMROGRAMAN VHDL

PERANCANGAN DAN SINTESIS ARSITEKTUR HARDWARE IFFT (INVERSE FAST FOURIER TRANSFORM) 32 TITIK BERBASIS BAHASA PEMROGRAMAN VHDL PERANCANGAN DAN SINTESIS ARSITEKTUR HARDWARE IFFT (INVERSE FAST FOURIER TRANSFORM) 32 TITIK BERBASIS BAHASA PEMROGRAMAN VHDL Amalia Rizka Darmayanti 1, Achmad Hidayatno, S.T., M.T. 2, Darjat, S.T., M.T

Lebih terperinci

PERANCANGAN DAN IMPLEMENTASI ALGORITMA ENKRIPSI ARCFOUR PADA PERANGKAT KRIPTOGRAFI BERBASIS FPGA

PERANCANGAN DAN IMPLEMENTASI ALGORITMA ENKRIPSI ARCFOUR PADA PERANGKAT KRIPTOGRAFI BERBASIS FPGA PERANCANGAN DAN IMPLEMENTASI ALGORITMA ENKRIPSI ARCFOUR PADA PERANGKAT KRIPTOGRAFI BERBASIS FPGA Mohamad Syahral Fakultas Teknik Elektro Universitas Indonesia Jakarta Kampus UI Depok Email : akumoral@yahoo.com

Lebih terperinci