PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

Ukuran: px
Mulai penontonan dengan halaman:

Download "PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami"

Transkripsi

1 PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali ini menitik beratkan pada praktikan untuk mengerti secara keseluruhan dari rangkaian praktikum dan percobaan yang telah dilakukan sebelumnya, karena pada praktikum kali ini praktikan ditugaskan untuk membuat proyek rangkaian digital sendiri. Praktikum ini diawali dengan membuat rancangan alat sendiri yang telah ditentukan pilihannya, sedangkan untuk merealisasikannya dibebaskan, setelah itu merealisasikannya dan melakukan pengujian dalam memverifikasi fungsinya dengan simulator tool. Terakhir mengimplementasikannya pada FPGA untuk didemokan dan mempresentasikan hasil proyek tersebut kepada Asisten. Dalam menyelesaikan proyek ini digunakan pendekatan menggunakan VHDL dan teori-teori yang telah didapat sebelumnya. Kata kunci: FPGA, VHDL, proyek, merancang 2. Dasar Teori Dalam melakukan praktikum ini praktikan memilih membuat proyek THUNDERBURD TAIL LIGHTS. Prinsip kerja proyek ini yaitu sama seperti lampu lampu yang berada di belakang mobil. Pada proyek ini ada 5 buah keadaan yaitu kondisi : 1. NIGHT, ini digunakan pada keadaan malam hari yaitu menyalanya 2 lampu (LED) pada sisi kiri dan kanan. 2. BRAKE, ini kondisi pada saat melakukan pengereman yaitu semua lampu (LED) menyala 1. Pendahuluan Praktikum kali ini di latar belakangi oleh butuhnya praktikan untuk menguji kemampuannya apakah telah memahami rangkaian praktikum dan percobaan yang telah dilakukan sebelumnya dengan uji coba sebuah proyek yang dibebaskan realisasinya sebagai evaluasi pemahaman. Adapun tujuan praktikum meliputi: 1. Menspesifikasi suatu system digital sederhana 2. Membagi system menjadi satu atau lebih jalur data dan kendali 3. Mendesain jalur data untuk sistem 4. Mendesain kendali untuk system 5. Mengintegrasikan jalur data dan kendali kedalam system secara keseluruhan 6. Melakukan tes secara menyeluruh pada system 7.Mengimplementasikan system digital menggunakan FPGA dan komponen tambahan yang diperlukan 8.Menguji dan menganalisa system yang sudah dibangun 3. RIGHT, ini kondisi pada saat berbelok ke kanan yaitu menyalakan lampu sen kanan dengan implementasi 4 lampu (LED) terkanan menyala secara bergantian dari dalam menuju ke luar 4. LEFT, kondisi ini sama seperti RIGHT hanya saja berada pada 4 LED terkiri untuk menyala secara bergantian dari dalam menuju keluar. 5., kondisi ini digunakan sebagai tanda bahaya yaitu lampu hazard implementasinya yaitu semua lampu (LED) menyala secara bersamaan dan padam secara bersamaan pula dan melakukan pengulangan selama tombol masih ditekan. 6. PENGEREMAN di TIKUNGAN, atau BRAKE-RIGHT dan BRAKE-LEFT, kondisi ini adalah saat melakuakan pengeraman di tikungan sehingga pola yang terjadi adanya pola LEFT atau RIGHT dan BRAKE secara bersamaan, dengan pola 4 lampu selain yang menjadi sen akan tetap menyala sedangkan yang menjadi sen melakukan fungsinya sebagai sen dengan pola BREAK(menyala semua LED) pada akhir pola dan kembali menjadi sen selama RIGHT atau LEFT dan BRAKE masih ditekan Halaman 1

2 Dalam pengimplementasian digunakan teori teori yang digunakan pada praktikum sebelumnya yaitu: Synchronous clock, div by N, clockdiv, flip flop, counter dan one hot controller. Selain itu digunakan pengetahuan mengenai FPGA dalam melakukan implementasi. Div By N digunakan untuk menjadi counter dalam penghitungan CLOCK pada implementasi FPGA, yaitu agar menyesuaikan CLOCK yang terdapat pada FPGA. kemungkinan yang terjadi di setiap LED sebagai berikut: A: menyala pada kondisi BRAKE, NIGHT, LEFT, B: menyala pada kondisi BRAKE, NIGHT, LEFT, I: menyala pada kondisi BRAKE, LEFT, D: menyala pada kondisi BRAKE, LEFT, E: menyala pada kondisi BRAKE, RIGHT, F: menyala pada kondisi BRAKE, RIGHT, G: menyala pada kondisi BRAKE, NIGHT, RIGHT, H: menyala pada kondisi BRAKE, NIGHT, RIGHT, Kemudian membuat state state tersebut dan diimplementasikan dalam fungsi dengan kode yang digunakan sebagai berikut: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DCD IS PORT (AK,BRK, CLK: IN STD_LOGIC; A1,A2,A3,A4: BUFFER STD_LOGIC); END DCD; D flip flop digunakan sebagai shift register dan sebagai penyimpan memory dalam menyala matikan LED yang dipengaruhi dengan signal CLOCK yang masuk ke dalam D flip flop. Synchronizer ini digunkan prinsipnya pada signal yaitu membuat LED memiliki pola nyala dan padam yang disesuaikan dengan CLOCK yang diberikan. 3. Metodologi 3.1 Mendesain dan Mengkode dengan VHDL Dalam melakukan desain praktikan menamakan 8 LED yang akan dinyalakan dengan nama A,B,I,D,E,F,G,H dengan LED A sebagai LED terkiri dan LED H sebagai LED terkanan dengan urutan seperti yang telah ditentukan. Kemudian membagi ARCHITECTURE BEHAVIOURAL OF DCD IS BEGIN PROCESS(CLK,BRK,AK) variable CNT: integer:=0; BEGIN IF CLK'EVENT AND CLK='1' THEN IF AK='1' THEN IF CNT = 0 THEN ELSIF CNT = 1 THEN A4<='1'; Halaman 2

3 ELSIF CNT = 2 THEN A3<='1'; ELSIF CNT = 3 THEN A2<='1'; ELSIF CNT = 4 THEN A1<='1'; ELSIF BRK='1' AND CNT = 5 THEN A1<='1'; A2<='1'; A3<='1'; A4<='1'; CNT:=0; ELSE CNT:=0; ELSE IF BRK ='1' THEN A1<='1'; A2<='1'; A3<='1'; A4<='1'; ELSE END PROCESS; END BEHAVIOURAL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLOCKDIV IS PORT (CLK: IN STD_LOGIC; DIVOUT : BUFFER STD_LOGIC); END CLOCKDIV; architecture behavioural of CLOCKDIV is begin PROCESS(CLK) variable count: integer :=0; constant div: integer := ; begin if CLK'event and CLK='1' then if(count<div) then count:=count+1; if(divout='0') then DIVOUT<='0'; elsif(divout='1') then DIVOUT<='1'; else if(divout='0') then DIVOUT<='1'; elsif(divout='1') then DIVOUT<='0'; count:=0; end process; end behavioural; Halaman 3

4 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY THN IS PORT (BRAKE, KIRI, KANAN,, NIGHT, CLOCK: IN STD_LOGIC; A,B,I,D,E,F,G,H :OUT STD_LOGIC); SIGNAL C: STD_LOGIC_VECTOR (9 DOWNTO 0); END THN; 2. Amati secara seksama 3. Catat pada BCL dan perhatikan keabsahannya 3.3 Mengimplementaskan pada FPGA 1. Jika dirasa benar sudah fungsi dari proyek yang telah dibuat, maka implementasikan pada FPGA 2. Assign pin dengan PIN PLANNER 3. Download pada FPGA 4. Amati dan catat pada BCL. ARCHITECTURE STRUCTURAL OF THN IS COMPONENT DCD PORT (AK,BRK, CLK: IN STD_LOGIC; END COMPONENT; COMPONENT CLOCKDIV PORT (CLK : IN STD_LOGIC; DIVOUT : OUT STD_LOGIC); END COMPONENT; BEGIN A1,A2,A3,A4: OUT STD_LOGIC); KI: DCD PORT MAP (AK=>KIRI, BRK=>BRAKE, CLK=>C(8), A1=>C(0), A2=>C(1), A3=>C(2), A4=>C(3)); KA: DCD PORT MAP (AK=>KANAN, BRK=>BRAKE, CLK=>C(8), A1=>C(7), A2=>C(6), A3=>C(5), A4=>C(4)); CL: CLOCKDIV PORT MAP (CLK=>CLOCK, DIVOUT=>C(8)); C(9) <= AND C(8); A <= NIGHT OR C(9) OR C(0); B <= NIGHT OR C(9) OR C(1); I <= C(9) OR C(2); D <= C(9) OR C(3); E <= C(9) OR C(4); F <= C(9) OR C(5); G <= NIGHT OR C(9) OR C(6); H <= NIGHT OR C(9) OR C(7); END; 4. Hasil dan Analisis 4.1 Spesifikasi alat 1. FPGA : Board FPGA DE1 Dalam melakukan praktikum kali ini seperti yang sudah diberitahukan sebelumnya bahwa praktikan membuat proyek THUNDERBURD TAIL LIGHTS, adapun dibuat dengan tabel kebenaran: NIGHT BRAKE LEFT RIGHT LAMPU Sen Kanan Sen Kiri Z Pengereman Rem belok kanan Rem belok kiri x x hazard x x Pengereman malam 1 x x x x Z 3.2 Mensimulasikan rancangan 1. Lakukan simulasi fungsional untuk melihat kekurangan dan kelebihan dari desain yang dibuat pada QUARTUS 9.0 Halaman 4

5 Dengan melihat tabel kebenaran dengan X pada tabel merupakan don t care dan Z adalah kondisi yang tidak ditentukan dan tidak termasuk dalam perencanaan, dengan bantuan ini praktikan membuat THUNDERBURD TAIL LIGHTS, sehingga didapatkan data data sebagai berikut yang merupakan hasil simulasi dengan simulator tool fungsional dengan clock 50 us dan clockdiv yang digunakan countnya sejumlah 3 sehingga count yang berasal dari CLOCK harus berosilasi 3 kali baru terhitung sebuah pergerakan, mengapa menggunakan 3 agar bisa dilihat perubahannya walaupun di kode digunakan , hal ini agar pada implementasi di FPGA berjalan baik, sedangkan jika digunakan maka sulit untuk dilihat perubahannya karena clock harus berosilasi kali terlebih dahulu untuk menghasilkan sebuah pergerakan. praktikan menyatakan kondisi nyalanya LED yang dikarenakan oleh BRAKE yang aktif pada bagian PROCESS di component DCD sehingga untuk merubah lampu rem dari padam hingga menyala dibutuhkan perubahan CLOCK (pada kasus ini 3 kali clock berosilasi). Pada kondisi ini terjadi pengereman dan berbelok ke kanan sehingga terjadi kondisi berikut. Untuk menampilkan hasil simulasi seperti ini praktikan mengganti nilai CLOCKDIV seharga satu sehingga berubah sesuai dengan CLOCK berubah, hal ini dikarenakan saat menggunakan CLOCK DIV sejumlah 3 tidak dapat teramati semua. Kondisi ini sudah benar kondisi sen kanan yaitu tepat saat RIGHT bernilai 1. Pergerakan atau flip flop baru aktif jika clock sudah berosilasi sebanyak 3 kali, sesuai dengan hipotesa awal Seperti yang sebelumnya maka ini adalah pengereman yang berbelok kekiri, sehingga berbarengan dengan sen kiri. Kondisi ini pun sudah benar yaitu kondisi pada saat sen kiri yaitu saat LEFT bernilai 1. Dengan perubahan saat clock sudah 3 kali berosilasi Yang terjadi kini adalah kondisi hazard yaitu saat bernilai 1 sehingga tercipta pola sebagai berikut. Yang terlihat adalah saat aktifnya bernilai 2 clock juga pada saat padamnya hal ini dikarenakan CLOCKDIV bernilai satu sehingga harus menunggu untuk menghitung dari 0 hingga 1yaitu 2 clock baru berubah logikanya. Kondisi Ini merupakan kondisi pengereman dengan perubahan terjadi jika clock telah berosilasi sebanyak 3 kali. Mengapa terjadi hal seperti ini bukannya berubah sesaat setelah dipijit tombolnya. Hal ini dikarenakan Halaman 5

6 5. Kesimpulan Pada kondisi ini terlihat kondisi PENGEREMAN padahal pada saat ini pula bernilai 1 hal ini dikarenakan praktikan mengeset BRAKE lebih prioritas dari sehingga yang terlihat adalah BRAKE. Kesimpulan dari praktikum ini yaitu hal hal yang dilakukan telah menjawab tujuan dan kebutuhan praktikan dalam memahami mengenai rangkaian digital tingkat mudah, selain itu praktikan pun merasa bahwa praktikum kali ini telah tepat sasaran dan menggunakan metode yang baik untuk membuat praktikan mengerti akan seluruh rangkain praktikum dan percobaan yang pernah dilakukan pada praktikum semester ini pada EL Semoga nilai nilai dan pengetahuan yang praktikan dapatkan pada rangkaian praktikum ini dapat digunakan dan diimplementasikan dengan baik di dunia nyata kedepannya. 6. Daftar Pustaka [1] Praktikum Sistem Digital, Hal ,Sekolah Teknik Elektro dan Informatika, Bandung, 2010 [2] Brown, Stepehen & Vranesic, Zvonko fundamentals of Digital Logic with VHDL design second edition, Mc GRAW HILL, 2005 Terakhir Kondisi ini yaitu kondisi menyalakan lampu MALAM, hal ini telah benar karena terjadi saat NIGHT bernilai 1 dan yang lain bernilai 0. Sebenarnya masih banyak kondisi lain yang tidak dimasukkan dan disimulasikan oleh praktikan tetapi jika melihat dari fungsi maka kesimpulannya NIGHT akan selalu terjadi saat apapun nilai kondisi masukan lainnya karena prinsip kerja dari THUNDERBURD TAIL LIGHTS yang dibuat yaitu melakukan operasi OR pada LAMPU yang berfungsi (menyala) sebagai penunjuk malam, kemudian jika terjadi dan BRAKE maka yang terlihat adalah BREAK karena semua fungsi LED menyala dengan melakukan operasi OR pada dan BRAKE, sedangkan signal emergency yang terjadi dimasukkan ke synchronizer terlebih dahulu supaya terjadi signal yang berosilasi sesuai dengan CLOCKDIV padahal signal masukkannya bernilai 1 terus hal ini dilakukan agar terlihat pola nyala dan padam pada kondisi hazard tetapi jika dibandingkan dengan signal BRAKE yang selalu bernilai 1 maka signal tidak akan berpengaruh. Kondisi berikutnya yaitu kondisi pada pengereman di tikungan yang memang dilakukan pengaturan pada unit kendalinya yang diber nama DCD sehingga mengatur BRAKE-RIGHT dan BRAKE-LEFT, tetapi pada implementasi terdapat kekurangan pada THUNDERBURD buatan praktikan yaitu dapat melakukan sen kanan dan kiri secara bersamaan, hal ini di kenyataan tidak terjadi tetapi jika benar ingin diimplementasikan di dunia nyata maka praktikan akan menjadikan signal masukan input RIGHT dan LEFT secara berlawanan sehingga tidak mungkin terjaid hal seperti ini. Terakhir yaitu kondisi sen kiri atau dan kanan dengan, maka pola yang terlihat adalah pola yang bercampur tidak jelas dengan SEN KIRI atau dan KANAN, sehingga tidak dimasukka kondisi ini oleh praktikan karena dirasa tidak penting dan memang hal ini luput dari perencanaan praktikan dalam membuat THUNDERBURD TAIL LIGHTS. [3] Sumber gambar: print screen hasil simulasi menggunakan QUARTUS 9.0 Halaman 6

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN :

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN : JURNAL PENELITIAN SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA Volume 4, Nomor 1, Januari 2008 ISSN : 1693-9670 JUDUL PENELITIAN 1. Rancang Bangun Sistem Layanan Panduan Wisata Dengan ASP (Active

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

Modul 6 : Rangkaian Sekuensial 2

Modul 6 : Rangkaian Sekuensial 2 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 6 : Rangkaian Sekuensial 2 6.1 Tujuan Mahasiswa mampu mengetahui cara kerja Shift Register. 6.2 Alat & Bahan 1. IC 74164 (serial in paralel out) 2. IC

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014 LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 23 / 24 MODUL 4 REGISTER, COUNTER DAN MEMORI OLEH KELOMPOK B ADE ILHAM FAJRI 5358 FRANKY SETIAWAN DALDIRI 5383 KELAS : B ASISTEN PEMBIMBING RISYANGGI AZMI FAIZIN

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL

LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LAPORAN PENDAHULUAN PRAKTIKUM SISTEM DIGITAL MODUL II RANGKAIAN SEQUENTIAL LABORATORIUM ARSITEKTUR DAN JARINGAN KOMPUTER JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INFORMASI INSTITUT TEKNOLOGI SEPULUH

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS Sudimanto Sekolah Tinggi Manajemen Informatika dan computer LIKMI Jl. Ir. H. Juanda 96 Bandung 40132 E-mail : sudianen@yahoo.com

Lebih terperinci

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER 1 Dadan Nurdin Bagenda, 2 Wahyudin 1 Program Studi Teknik Informatika STMIK LPKIA 2 Program Studi Teknik Informatika STMIK

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN SISTEM

BAB III ANALISA DAN PERANCANGAN SISTEM BAB III ANALISA DAN PERANCANGAN SISTEM 3.1 ANALISIS SISTEM LALU LINTAS Pemahaman tentang sistem yang akan dirancang sangat diperlukan sebelum perangkat lunak dibangun. Pembangunan perangkat lunak dimulai

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN Pada bab ini akan dijelaskan proses pengujian, hasil, dan analisis dari hasil pengujian. Ada tiga bagian yang diuji, yaitu perangkat keras, perangkat lunak,

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b SIMUASI RANCANGAN FITER BUTTERWORTH MENGGUNAKAN XIINX-ISE 8.i DAN MODESIM 6.b Wahyu Kusuma Raharja, 2 Sunny Arief Sudiro Jurusan Teknologi Informasi, Fakultas Teknologi Industri, Universitas Gunadarma

Lebih terperinci

REGISTER DAN COUNTER.

REGISTER DAN COUNTER. REGISTER DAN COUNTER www.st3telkom.ac.id Register Register adalah rangkaian yang tersusun dari satu atau beberapa flip-flop yang digabungkan menjadi satu. Flip-Flop disebut juga sebagai register 1 bit.

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

SHIFT REGISTER SERIAL COMMUNICATION. System Digital

SHIFT REGISTER SERIAL COMMUNICATION. System Digital SHIFT REGISTER SERIAL COMMUNICATION System Digital 1 POKOK BAHASAN Shift Registers Definisi Model I/O : Serial, Pararel dan Kombinasi Arah pergeseran : Kiri, Kanan dan dua arah Applikasi/penggunaan Implementasi

Lebih terperinci

BAB III PERANCANGAN. Pada bab ini akan dibahas mengenai beberapa hal dasar tentang bagaimana. simulasi mobil automatis dirancang, diantaranya adalah :

BAB III PERANCANGAN. Pada bab ini akan dibahas mengenai beberapa hal dasar tentang bagaimana. simulasi mobil automatis dirancang, diantaranya adalah : BAB III PERANCANGAN Pada bab ini akan dibahas mengenai beberapa hal dasar tentang bagaimana simulasi mobil automatis dirancang, diantaranya adalah : 1. Menentukan tujuan dan kondisi pembuatan simulasi

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

BAB IV IMPLEMENTASI DAN PENGUJIAN

BAB IV IMPLEMENTASI DAN PENGUJIAN BAB IV IMPLEMENTASI DAN PENGUJIAN Pada bab ini akan dijelaskan mengenai perancangan aplikasi Traffic Light Control System berbasis jaringan dan pengawasan traffic dengan kamera berdasarkan jam kantor sampai

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang

BAB I PENDAHULUAN Latar Belakang BAB I PENDAHULUAN Pada skripsi ini akan dirancang sebuah sistem yang dipasang pada helm sebagai indikator perlambatan dan arah berbelok sepeda, untuk meningkatkan keselamatan dan kenyamanan dalam bersepeda.

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian SYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian SYNCHRONOUS COUNTER

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET (KOMUNIKASI DATA)

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET (KOMUNIKASI DATA) Revisi : 01 Tgl : 1 Maret 2008 Hal 1 dari 8 1. Kompetensi Setelah melakukan praktik, mahasiswa memiliki kompetensi: dapat memahami dan mengimplementasikan teknik komunikasi data antara dua buah komputer

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) KKKM12007 Teknik Digital PROGRAM STUDI D3 MANAJEMEN INFORMATIKA (MI) FAKULTAS ILMU KOMPUTER (FILKOM) UNIVERSITAS PUTRA INDONESIA YPTK LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

Aplikasi Metode Cepat untuk Desain Untai Logik

Aplikasi Metode Cepat untuk Desain Untai Logik Jurnal Kompetensi Teknik Vol. 4, No. 1, November 22 71 Aplikasi Metode Cepat untuk Desain Untai Logik Rafael Sri Wiyardi 1 1 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Negeri Semarang rafaelwiyardi@yahoo.com

Lebih terperinci

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro ,, TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah, Sebelumnya dibahas elemen rangkaian sekuensial berupa flip-flop dan latch yang mampu menyimpan informasi

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT 37 BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Pengukuran dan Pengujian Pengukuran dan pengujian alat bertujuan agar dapat diketahui sifat dan karakteristik tiap blok rangkaian dan fungsi serta cara kerja

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Perancangan Blok Diagram Dalam perancangan alat sangat diperlukan blok diagram sebagai acuan dan cara kerja penulis untuk memetakan pekerjaan yang akan dibuat, dalam hal ini

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

PERCOBAAN 3 FLIP FLOP 1

PERCOBAAN 3 FLIP FLOP 1 PERCOBAAN 3 FLIP FLOP 3.. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Melakukan analisa rangkaian sekuensial dengan SR Flip-flop Mendisain rangkaian sekuensial dengan SR flip-flop

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci

RUNNING TEXT. Gambar 1. Susunan Running Text

RUNNING TEXT. Gambar 1. Susunan Running Text RUNNING TEXT TUJUAN 1. Membuktikan program running text. 2. Menganalisa tampilan running text. TEORI Pendahuluan Running Text merupakan salah satu media yang efektif menyampaikan informasi. Running Text

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1. Gambaran Umum Sistem Sistem ini terdiri dari 2 bagian besar, yaitu, sistem untuk bagian dari panel surya ke baterai dan sistem untuk bagian dari baterai ke lampu jalan. Blok

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

Gambar 1.1 Logic diagram dan logic simbol IC 7476

Gambar 1.1 Logic diagram dan logic simbol IC 7476 A. Judul : FLIP-FLOP JK B. Tujuan Kegiatan Belajar 15 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui cara kerja rangkaian Flip-Flop J-K. 2) Merangkai rangkaian Flip-Flop J-K.

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

METODE PENELITIAN. Elektro Universitas Lampung. Penelitian di mulai pada bulan Oktober dan berakhir pada bulan Agustus 2014.

METODE PENELITIAN. Elektro Universitas Lampung. Penelitian di mulai pada bulan Oktober dan berakhir pada bulan Agustus 2014. 22 III. METODE PENELITIAN 3. Waktu dan Tempat Penelitian Penelitian tugas akhir ini dilakukan di Laboratorium Terpadu Teknik Elektro Universitas ng. Penelitian di mulai pada bulan Oktober 202 dan berakhir

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Register, Counter dan Memori 1 11/9/2016 1 Inti pembelajaran Memahami pengertian Register, Counter dan Memori. Mampu menjelaskan cara kerja Register, Counter

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

Semua Timer diatas menggunakan jenis timer OnDellay. Untuk jenis-jenis timer bisa dilihat sebagai berikut:

Semua Timer diatas menggunakan jenis timer OnDellay. Untuk jenis-jenis timer bisa dilihat sebagai berikut: 1. Diagram ladder aplikasi PLC Lampu lalu lintas. Lampu lalulintas atau trafight light dapat dibuat menggunakan PLC. dengan memanfaatkan timer yang terdapat pada PLC kita bisa membuat lampu lalulintas

Lebih terperinci

RANCANG BANGUN MODUL SIMULASI SISTEM KENDALI UNTUK MENINGKATKAN PEMAHAMAN MAHASISWA PADA MATA KULIAH PLC

RANCANG BANGUN MODUL SIMULASI SISTEM KENDALI UNTUK MENINGKATKAN PEMAHAMAN MAHASISWA PADA MATA KULIAH PLC RANCANG BANGUN MODUL SIMULASI SISTEM KENDALI UNTUK MENINGKATKAN PEMAHAMAN MAHASISWA PADA MATA KULIAH PLC Jurusan Teknik Elaktro, Fakultas Teknik, Universitas Negeri Semarang Abstrak. PLC terdiri dari perangkat

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) 4. RENCANA PEMBELAJARAN SEMESTER RENCANA PEMBELAJARAN SEMESTER (RPS) Identitas Mata Kuliah: Program Studi : S1 Elektro Mata Kuliah : Pengantar Perancangan IC Kode Mata Kuliah : E11625 Semester : III (Tiga)

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA SIMULASI SISTEM PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS

BAB IV PENGUJIAN DAN ANALISA SIMULASI SISTEM PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS BAB IV PENGUJIAN DAN ANALISA SIMULASI SISTEM PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS Dalam bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat.

Lebih terperinci

KENDALI ROBOT MELALUI RF DENGAN D-JOY CONTROLLER

KENDALI ROBOT MELALUI RF DENGAN D-JOY CONTROLLER AN0140 KENDALI ROBOT MELALUI RF DENGAN D-JOY CONTROLLER Gambar 1 Kendali 4 robot dengan 4 joystick oleh sebuah D-Joy Controller Joystick PS2 adalah sebuah media pengendali robot yang cukup handal. Dibandingkan

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Mesin Mealy. Bahasan Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Fakultas Teknik Universitas Diponegoro

Mesin Mealy. Bahasan Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Sistem Komputer - Fakultas Teknik Universitas Diponegoro TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Bahasan Kuliah Model Mealy Model rangkaian sekuensial sinkron Keluaran rangkaian tergantung dari nilai

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs.

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs. BABIV ANALISA DAN PEMBAHASAN Pada bab ini akan dibahas mengenai keseluruhan pengujian sistem. Materi pengujian sistem meliputi dua bagian yakni dengan simulasi dan pengujian pada monitor. Simulasi dilakukan

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai prinsip kerja rangkaian yang disusun untuk merealisasikan sistem alat, dalam hal ini Bluetooth sebagai alat komunikasi penghubung

Lebih terperinci

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Waktu : Juli 2010 November 2010 Tempat : Laboratorium Teknik Kendali Jurusan Teknik Elektro Universitas Lampung B. Alat dan Bahan Alat dan bahan yang

Lebih terperinci

PARAMETER GERBANG LOGIKA

PARAMETER GERBANG LOGIKA PARAMETER GERBANG LOGIKA Praktikan: Muhammad Abdul Jabbaar (13508072) Asisten: M. Ashr Sayuti Waktu Percobaan: 2 September 2010 EL2195 Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah

Lebih terperinci

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map

Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Implementasi Greedy Dalam Menemukan Rangkaian Logika Minimal Menggunakan Karnaugh Map Aldy Wirawan 13511035 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Semester 3 Counter Sinkron 2 menit No. LST/PTI/PTI6205/ Revisi: Tgl: 8 September 24 Page 1 of 5 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung

Lebih terperinci

dan Flip-flop TSK505 - Sistem Digital Lanjut Eko Didik Widianto Teknik Sistem Komputer - Universitas Diponegoro Elemen Rangkaian Sekuensial: Latch

dan Flip-flop TSK505 - Sistem Digital Lanjut Eko Didik Widianto Teknik Sistem Komputer - Universitas Diponegoro Elemen Rangkaian Sekuensial: Latch Elemen Rangkaian Sekuensial: Latch dan Flip-flop @2011,Eko Didik Widianto Elemen Rangkaian Sekuensial: Latch dan Flip-flop TSK505 - Sistem Digital Lanjut Rangkaian Sekuensial Latch Flip-flop Eko Didik

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map

Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Penerapan Greedy dan DFS dalam Pemecahan Solusi K- Map Sri Handika Utami / 13508006 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10 Bandung

Lebih terperinci