LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

Ukuran: px
Mulai penontonan dengan halaman:

Download "LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER"

Transkripsi

1 LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL dan simulasinya. 3. Mampu memprogam IC PLD menggunakan All-11 Universal Programmer. 4. Mampu membuat aplikasi-aplikasi yang lain dengan menggunakan IC GAL22V10D. PENDAHULUAN Pengenalan VHDL Berikut ini akan dijelaskan elemen dasar yang dari VHDL yang meliputi : identifier, data object, data types, entity, architecture dan package. 1. Identifier Identifier dalam VHDL terdiri dari satu atau lebih karakter yang berupa karakter huruf, angka atau garis bawah dengan aturan berikut : Karakter yang diperbolehkan adalah huruf besar(a..z), huruf kecil(a..z), huruf(0 9) dan garis bawah(_). Karakter pertama harus berupa huruf. Karakter terakhir tidak diperbolehkan berupa garis bawah(_), penggunaan 2 karakter garis bawah(_) juga tidak diperbolehkan. Penggunaan huruf besar dan huruf kecil dianggap sama (tidak case sensitive). Komentar dalam VHDL dimulai dengan karakter Data Objects Dalam VHDL dikenal 3 obyek data yaitu : Konstanta, Variable dan Signal Konstanta Obyek konstanta dapat menyimpan sebuah nilai yang didefiniskan saat deklarasi konstanta. Nilai yang sudah didefinisikan tersebut tidak dapat diubah selama proses desain. Deklarasi : constant identifier[,identifier..]:type[:value]; Contoh : constant bus_width: integer := 8; Variable Obyek variabel dapat menyimpan sebuah nilai yang diberikan saat desain, dan nilai tersebut dapat dirubah kapanpun saat desain. Deklarasi : variable identifier[,identifier..]:type[:value]; Contoh : variable ctrl_bits: std_logic; Signal Obyek Signal bisa disamakan dengan variable, perbedaannya adalah bahwa signal dapat menyimpan ataupun melepaskan nilai logika, sedangkan variable tidak dapat, oleh karena itu, signal dapat diwujudkan dalam elemen memori.

2 Deklarasi : signal identifier[,identifier..]:type[:value]; Contoh : signal con: std_logic; 3. Data Types Pada warp, sudah didefinisikan beberapa tipe data yang sering dipakai, yaitu : integer, boolean, bit, character, string, bit_vector, std_logic dan std_logic_vector. 4. Entity VHDL tersusun oleh pasangan entity dan architecture-nya, entity mendefinisikan desain I/O atau interface-nya, sedangkan architecture menyatakan kandungan atau kelakuan dari desain. Pasangan entity dan architecture dapat digunakan sebagai desain yang lengkap atau dapat digunakan juga sebagai komponen. Kode program dari deklarasai entity adalah : ENTITY entity-name IS PORT [signal][sig-name,..]:[direction] type; [;signal[sig-name,..]:[direction] type].. ); END entity-name; Nama entity yang sudah dibuat akan dijadikan referensi untuk architecture-nya. Entity mendeklarasikan port, port adalah obyek dari kelas signal yang didefinisikan pada entity. Masing-masing port memiliki nama port, mode dan type. Tipe yang dipakai pada port adalah in (default), out, in-out dan buffer. 5. Architecture Architecture pada suatu desain menggambarkan tentang kelakuan/proses serta struktur dari entity. Kode program dari deklarasi architecture adalah: ARCHITECTURE architecture-name OF entity-name IS [type-declarations] [signal-declarations] [constant-declarations] BEGIN [architecture definition] END architecture-name; 6. Package Sebuah package dapat mendeklarasikan komponen berupa pasangan entity dan architecture, type, konstanta atau fungsi agar item-item tersebut dapat digunakan pada desain yang lain. Package sering kali dituliskan sebelum entity dan architecture. Agar sebuah desain dapat menggunakan package yang sudah dibuat, maka pada desain tersebut harus memanggil package yang akan dipakai dengan menggunakan klausa USE.

3 7. Generic Array Logic (GAL) GAL merupakan IC tipe PLD yang paling banyak digunakan, GAL diproduksi oleh banyak vendor IC (contoh : Cypress, Latice dan Texas Instrument). Semua ekspresi digital dapat direpresentasikan dengan mengunakan sum of product(sop), oleh karena itu, dengan menggunakan array AND/OR yang dapat diprogram, akan diperoleh banyak aplikasi rangkaian digital yang diinginkan. GAL berisi array AND/OR (gambar Fig. III-1), pada array AND, semua input dan komplemennya dihubungkan dengan gate AND, output dari array AND (product) dihubungkan dengan gate OR (sum). Fig. III-1. Struktur GAL/PAL Pada GAL, array input AND dapat diputus atau dihubungkan kembali dengan jalan memprogramnya. Sebagai contoh, jika diinginkan persamaan logika A. C. D. E. F maka hubungan array input AND pada GAL dapat ditunjukkan seperti gambar Fig. III-2. Fig. III-2. Memprogram Input AND Pada IC PLD terdahulu, hubungan array input AND terbuat dari fuse yang akan putus jika dialiri tegangan yang tinggi. Dengan fuse ini, maka array input AND tidak dapat diprogram kembali. Generasi IC PLD sekarang menggunakan EEPROM, sehingga array input AND dapat diprogram kembali.

4 Untuk memprogram sebuah IC PLD, bisa dilakukan dengan menuliskan programnya dengan menggunakan VHDL dan memasukkan hasil kompilasinya ke dalam IC dengan menggunakan Programmer. Salah satu IC Programmer yang sering dipakai adalah All-11 Universal Programmer yang memiliki kemampuan untuk memprogram IC PLD, MCU, EPROM, EEPROM dan lain-lain. KEBUTUHAN 1. Koper lengkap HBE-LogicCircuit-Digital 2. IC GAL22V10D 3. Kabel tembaga 4. IC Programmer ALL PC dengan program WARPR 6.3 Galaxy dan Active-HDL Simulator TUGAS PENDAHULUAN 1. Baca dan pahami Technical Guide terlebih dahulu! 2. Pelajari semua datasheet dari semua IC yang digunakan pada percobaan ini! 3. Apa yang dimaksud dengan VHDL? Apa bedanya dengan Verilog? 4. Apakah perbedaan dari tipe data std_logic dengan std_logic_vector! Jelaskan! 5. Tulislah kode program dalam VHDL untuk membuat aplikasi sederhana OR 3 input, lengkap dengan library, entity dan architecture-nya! 6. Jelaskan prinsip kerja PAL/GAL! Cari datasheet IC GAL22V10D! 7. Gambarkan dan jelaskan fungsi kaki-kaki dari IC GAL22V10D! EKSPERIMEN 1 : VHDL [Percobaan 1] Membuat AND 2 Input menggunakan VHDL [Persiapan] Alat I/O - Modul - Lain-lain PC dengan program WARPR 6.3 Galaxy [Prosedur] 1. Bukalah Software Galaxy 2. Buat Project baru melalui menu File > New, kemudian pilih Project [Target - Device], kemudian klik Ok 3. Pilih VHDL pada Project Type. 4. Masukkan nama Project-nya and3in 5. Masukkan Project Path pada direktori : C:\Rangkaian Logika\VHDL\and3in 6. Klik Next untuk menuju Add Files Wizard. Add Files Wizard digunakan untuk menambahkan file VHDL kedalam Project. Abaikan saja dialog tersebut dan klik Next untuk menuju ke Target Device Wizard. 7. Pilihlah device nya dengan cara memilih SPLD (Small PLDs) ->c22v10, pada Package pilih PALC22V10D-25PC (gambar Fig. III-3) 8. Klik Finish untuk membuat project 9. Klik Yes untuk menyimpan project 10. Buatlah file baru melalui File -> New

5 11. Pilih Text File, lalu klik Ok, kemudian akan muncul text editor seperti pada gambar Fig. III-3 Fig. III-3. Memilih Device Fig. III-4. Editor Yang Masih Kosong 12. Simpan file kosong tersebut melalui File -> Save, letakkan dalam satu alamat dengan file project and3in.pfg dan beri nama file tersebut and2in.vhd 13. Berikutnya adalah menuliskan entity, kita akan membuat entity untuk gerbang AND dengan 2 input, entity mendeklarasikan nama, arah dan tipe data dari masingmasing port yang akan digunakan. Tuliskan entity berikut ini: entity and2in is port( input: in std_logic_vector(1 downto 0); output: out std_logic); end and2in;

6 14. Langkah berikutnya adalah menuliskan Architecture dari AND 2 input yang kita buat. Architecture mendefinisikan kelakuan dari komponen yang dibuat, dan letaknya selalu berada setelah entity, ketikkan architecture AND 2 input berikut: architecture archand2in of and2in is begin and2in: process (input) begin if (input = "00") then output <= '0'; elsif (input = "01") then output <= '0'; elsif (input = "10") then output <= '0'; elsif (input = "11") then output <= '1'; end if; end process; end archand2in; 15. Langkah berikutnya adalah menuliskan Package dari gerbang AND 2 input tadi, tuliskan Package dengan nama and2in_pkg dengan kode program di bawah ini! Letakkan sebelum entity! package and2in_pkg is component and2in port(input: in std_logic_vector(1 downto 0); output: out std_logic); end component; end and2in_pkg; 16. Langkah berikutnya adalah menuliskan Library, ketikkan library sesuai dengan kode program di bawah ini! Letakkan satu sebelum Package dan satu lagi sebelum Entity! library ieee; use ieee.std_logic_1164.all; [Percobaan 2] Membuat AND 3 Input menggunakan VHDL [Persiapan] Alat I/O - Modul - Lain-lain PC dengan program WARPR 6.3 Galaxy

7 [Prosedur] Fig. III-5. AND 3 Input Menggunakan AND 2 Input 1. Buat file text baru, dan beri nama and3in.vhd, letakkan dalam folder yang sama dengan file Project and3in.pfg! 2. Tuliskan library, entity dan architecture-nya dengan kode program berikut ini : library ieee; use ieee.std_logic_1164.all; use work.and2in_pkg.all; entity and3in is port ( a,b,c: in std_logic; y: out std_logic); attribute pin_numbers of and3in:entity is " a:1 b:3 c:5 y:15 "; end and3in; architecture archand3in of and3in is signal con : std_logic; begin and_1: and2in port map ( input(0) => a, input(1) => b, output => con ); and_2: and2in port map ( input(0) => con, ); end archand3in; input(1) => c, output => y 3. Langkah selanjutnya adalah menambahkan file VHDL and2in.vhd dan and3in.vhd ke dalam project. Tambahkan file tersebut melalui Project -> Add Files, apabila sudah selesai, klik Ok 4. Langkah selanjutnya adalah menjadikan file and3in.vhd sebagai Top Level. Buatlah file and3in sebagai top level melalui klik kanan kemudian pilih Set Top 5. Agar proses kompilasi berjalan sesuai dengan yang diinginkan, perlu dilakukan setting pada compiler, Klik Project -> Compiler Options, pada I/O, Unused

8 Outputs: pilih 0, dan pada Simulation, Timing Model, pilih Active- HDLSIM/Active-VHDL 6. Kemudian Compile project tersebut melalui Compile -> Project. Maka akan dihasilkan file baru dengan nama and3in.jed (file ini akan digunakan untuk men-download program ke IC). [Percobaan 3] Mensimulasikan dengan Active-HDL Sim [Persiapan] Alat I/O - Modul - Lain-lain PC dengan program WARPR 6.3 Galaxy dan Active-HDL Simulator [Prosedur] 1. Bukalah aplikasi Active-HDL Sim dari Tools -> Active-HDL Sim 2. Bukalah file and3in.vhd pada alamat C:\Rangkaian Logika\VHDL\and3in\vhd melalui menu File -> Open VHDL 3. Kemudian akan muncul jendela seperti gambar di bawah ini: Fig. III-6. Active-HDL Sim 4. Tambahkan sinyal yang akan disimulasikan dengan cara memilih Waveform -> Add Signals, tambahkan sinyal a, b, c dan y kedalam simulasi dengan cara doubleclick

9 Fig. III-7. Memilih Sinyal 5. Setelah selesai klik Add 6. Pada sinyal a, set Stimulator dengan cara Klik-kanan pilih Stimulators, pada Stimulator type: pilih Clock, panjang gelombangnya beri nilai 2000ns, kemudian klik Apply, setelah selesai, klik Close Fig. III-8. Stimulators 7. Dengan cara yang sama, set stimulators untuk sinyal b dengan tipe Clock dengan panjang gelombang 1000ns 8. Dengan cara yang sama, set stimulators untuk sinyal c dengan tipe Clock dengan panjang gelombang 500ns 9. Pada toolbar isian nilai 100ns, isikan nilai baru 4000ns 10. Pada toolbar, klik Run Until 11. Dengan mengatur gambar melalui Zoom in dan Zoom out maka anda akan mendapatkan gambar simulasi berikut ini: Fig. III-9. Hasil Simulasi

10 Tabel III-1. Hasil Simulasi Active-HDL Sim AND 3 Input Input Output a b c y EKSPERIMEN 2 : MEMPROGRAM IC GAL [Percobaan 4] Pemrograman IC menggunakan Universal Programmer [Persiapan] Alat I/O Slide Switch (SW1, SW2, SW3), LED (D1, D2) Modul - Lain-lain PC dengan IC Programmer ALL-11, Kabel (untuk menghubungkan IC GAL dengan alat I/O [Prosedur] 1. Matikan All-11 Universal Programmer 2. Letakkan IC GAL pada All-11 Universal Programmer 3. Nyalakan All-11 Universal Programmer 4. Bukalah file access.exe! 5. Pada pilihan Device, pilihlah produk buatan Lattice, lalu pilih GAL22V10 (no UES) Fig. III-10. Menu Device

11 6. Setelah itu akan muncul jendela di bawah ini: Fig. III-11. Jendela Download 7. Hapuslah isi IC GAL melalui pilihan Erase! 8. Pilih File, lalu pilih Load JEDEC file, cari alamat tempat kalian menyimpan file and3in.jed! 9. Lakukan pemrograman dengan memilih menu Program 10. Matikan All-11 Universal Programmer 11. Ambil IC GAL dari All-11 Universal Programmer 12. Letakkan IC GAL yang sudah terprogram pada breadboard, rangkaikan dengan benar, arahkan output (kaki 15) ke sebuah LED pada kaki anoda! 13. Lengkapilah tabel berikut ini, bandingkan dengan hasil simulasi pada tabel: Tabel III-2. Hasil Simulasi AND 3 Input Dengan IC GAL Input Output a b c y TUGAS MODUL 1. Buatlah aplikasi gerbang OR 5 input! 2. Perhatikan kode berikut ini :

12 entity and2in is port( input: in std_logic_vector(1 downto 0); output: out std_logic); end and2in; Jelaskan maksud dari kode: input: in std_logic_vector(1 down to 0)! Jelaskan perbedaannya dengan kode : output: out std_logic! 3. Terangkan perbedaan prinsip kerja dari PAL/GAL dibandingkan dengan FPGA! 4. Buatlah kode program aplikasi Demultiplexer 2 to 4 dengan menggunakan VHDL, buat menjadi sebuah package! 5. Buatlah sebuah program aplikasi Demultiplexer 4 to 16 dengan memanfaatkan package pada nomor 4! Simulasikan! Sertakan print-out hasil simulasi ke dalam laporan!

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS DT-51 AT89C51XXX BMS Application Note Oleh: Tim IE Application Note (AN) ini disusun untuk memberikan penjelasan tentang cara penggunaan DT-51 AT89C51XXX Bootloader Micro System beserta software pendukungnya.

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

TEKNIK PEMROGRAMAN MIKROKONTROLER AVR

TEKNIK PEMROGRAMAN MIKROKONTROLER AVR TEKNIK PEMROGRAMAN MIKROKONTROLER AVR Seiring dengan makin pesatnya teknologi, maka bidang elektronika sebagai salah satu pilar penopang terbesarnya, juga mengalami perkembangan yang luar biasa. Oleh karena

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PERCOBAAN I PENGENALAN CODEVISION AVR

PERCOBAAN I PENGENALAN CODEVISION AVR PERCOBAAN I PENGENALAN CODEVISION AVR TUJUAN Memahami cara membuat file project dengan aplikasi CodeVision AVR Memahami cara menggunakan CodeWizzard Memahami cara menampilkan data ke port output Memahami

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH 1 TUJUAN Mengetahui dan memahami cara mengantarmukakan mikrokontroler dengan rangkaian input saklar toggle. Mengetahui dan memahami bagaimana memrogram

Lebih terperinci

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu BAB IV PERANCANGAN DAN PEMBAHASAN Pada bab ini akan diterangkan secara detail mengenai perancangan trainer simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu perancangan hardware

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK Bab ini membahas tentang perancangan perangkat lunak yang meliputi interface PC dengan mikrokontroller, design, database menggunakan Microsoft access untuk

Lebih terperinci

AT89 USB ISP Trademarks & Copyright

AT89 USB ISP Trademarks & Copyright AT89 USB ISP Trademarks & Copyright PC is a trademark of International Business Machines Corporation. Windows is a registered trademark of Microsoft Corporation. MCS-51 is a registered trademark of Intel

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Membuat Project dengan CodeVisionAVR.

Membuat Project dengan CodeVisionAVR. Membuat Project dengan CodeVisionAVR. Pada penjelasan berikutnya, sebagai contoh digunakan modul AVR yang mempunyai hubungan sebagai berikut: PortA terhubung dengan 8 buah LED dengan operasi aktif high

Lebih terperinci

JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED

JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED 1 TUJUAN LED Menjelaskan rangkaian antarmuka mikrokontroler dengan LED. Mempraktekkan pemrograman mikrokontroler untuk menyalakan LED. Sebuah LED (Light Emitting

Lebih terperinci

Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp Terbit pertama: Juni 2005 Sinopsis singkat:

Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp Terbit pertama: Juni 2005 Sinopsis singkat: Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp 19.800 Terbit pertama: Juni 2005 Sinopsis singkat: Membahas teknik pembuatan aplikasi database menggunakan Microsoft Access Project 2003 dan SQL Server

Lebih terperinci

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) SEMINAR NASIONAL ELECTRICAL, INFORMATICS, AND IT S EDUCATIONS 2009 DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE ) Arief Budijanto, Chirul Anwar, Nizar

Lebih terperinci

BAB III PERANCANGANALAT

BAB III PERANCANGANALAT BAB III PERANCANGANALAT 3.1. Tujuan Perancangan Berdasarkan kajian ladasan teori pada bab sebelumnya, maka pada bab ini akan dilakukan pembahasan berkenaan dengan perancangan alat, perancangan ini bertujuan

Lebih terperinci

PC-Link Application Note

PC-Link Application Note PC-Link Application Note AN122 USB to I²C Peripheral Bridge Oleh: Tim IE Aplikasi berikut adalah salah satu contoh penggunaan mode Bit Bang yang didukung oleh PC-Link USBer. Dalam aplikasi ini PC-Link

Lebih terperinci

Gambar 1. Jendela Ms. Access Pilihan: New : menu untuk membuat file basis data baru. Recent : menu untuk membuka file basis data yang sudah ada.

Gambar 1. Jendela Ms. Access Pilihan: New : menu untuk membuat file basis data baru. Recent : menu untuk membuka file basis data yang sudah ada. Mata Pelajaran : Keterampilan Komputer dan Pengelolaan Informasi Standar Kompetensi : Microsoft Office Access Kompetensi Dasar : Mengoperasikan Software Aplikasi Basis Data Kelas : XI Pertemuan 2 A. Menjalankan

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

BAB VI MENGENAL TRAINER " BATO - 05 "

BAB VI MENGENAL TRAINER  BATO - 05 BAB VI MENGENAL TRAINER " BATO - 05 " Perangkat PLC ini telah di set sedemikian rupa sehingga mudah dalam penginstalan dan pengoperasian program control system dari suatu rangkaian. Adapun modul trainer

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Spesifikasi Sistem Spesifikasi yang ada pada sistem ini terbagi menjadi dua tahapan, yaitu spesifikasi perangkat keras yang digunakan dan spesifikasi perangkat lunak

Lebih terperinci

ROBO-STORE

ROBO-STORE Sensor Pendengaran Microphone Microphone adalah sebuah transducer yang mengubah sinyal suara menjadi sinyalsinyal listrik sesuai pola suara yang diterima. Sistem elektronik akan merespon sinyalsinyal suara

Lebih terperinci

MODUL X DATABASE VB. Modul Praktikum Bahasa Pemrograman Visual (BPV)

MODUL X DATABASE VB. Modul Praktikum Bahasa Pemrograman Visual (BPV) MODUL X DATABASE VB A. Tujuan 1. Memahami dan menerapkan operasi-operasi database. 2. Memahami langkah pembuatan aplikasi database. 3. Memahami konfigurasi data source. 4. Memahami pembuatan Table Adapter.

Lebih terperinci

INSTRUKSI PENCABANGAN

INSTRUKSI PENCABANGAN INSTRUKSI PENCABANGAN Sebagai pengembang (programmer) sudah pasti mengetahui jenis operasi instruksi. Salah satu yang perlu dipelajari atau dimengerti adalah operasi instruksi pencabangan. 3.1 Pencabangan

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

(Keterampilan Komputer Pengelolaan Informasi)

(Keterampilan Komputer Pengelolaan Informasi) (Keterampilan Komputer Pengelolaan Informasi) Mata Diklat : Program Circuit Maker Program keahlian: Semua Program Keahlian Teknik Audio Video Pertemuan : I (Pertama) Di Susun Oleh : Guru Mata Pelajaran

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

TABEL DATABASE TABEL - KODE BARANG TOKO INFOMART BARANG - NAMA BARANG - HARGA

TABEL DATABASE TABEL - KODE BARANG TOKO INFOMART BARANG - NAMA BARANG - HARGA TABEL Dalam pembuatan database, data yang pertama dibuat adalah tabel. Tabel merupakan kumpulan data yang tersusun menurut aturan tertentu dan merupakan komponen utama pada database. Table disusun dalam

Lebih terperinci

TIPE DATA, VARIABLE, dan OPERATOR DELPHI

TIPE DATA, VARIABLE, dan OPERATOR DELPHI TIPE DATA, VARIABLE, dan OPERATOR DELPHI A. TIPE DATA Delphi merupakan bahasa pemrograman tingkat tinggi yang mendukung perancangan terstruktur dan berorientasi Object. Bahasa pemrograman ini berdasarkan

Lebih terperinci

PART 7. Void & Define. Dosen : Dwisnanto Putro, S.T, M.Eng

PART 7. Void & Define. Dosen : Dwisnanto Putro, S.T, M.Eng PART 7 Void & Define Dosen : Dwisnanto Putro, S.T, M.Eng LED (LIGHT EMITING DIODE) Untuk LED ANODA : Logika 0 = led menyala Logika 1 = led mati Untuk LED KATODA : Logika 0 = led mati Logika 1 = led menyala

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M. LAPORAN PRAKTIKUM KELAS PTIK 05 2014 TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN

Lebih terperinci

Bahasa FORTRAN. Saifoe El Unas. Apa FORTRAN itu?

Bahasa FORTRAN. Saifoe El Unas. Apa FORTRAN itu? Bahasa FORTRAN Saifoe El Unas Apa FORTRAN itu? FORTRAN = Formula Translation Merupakan bahasa pemrograman pertama (1957) untuk Scientists& Engineers. Perkembangan FORTRAN : FORTRAN 66 FORTRAN 77 FORTRAN

Lebih terperinci

MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL

MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL Program yang dijalankan dengan menggunakan aplikasi console pada Visual C++ 2008 akan membaca data dari command line dan hasilnya akan ditampilkan dalam command

Lebih terperinci

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH YOGYAKARTA 2016 MIKROKONTROLER UNTUK PEMULA

Lebih terperinci

Turbo C adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C

Turbo C adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C 1. Pendahuluan Lingkungan Turbo C++ 4.5 Turbo C++ 4.5 adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C++ 4.5. 1 2 3 4 1 : Menu Utama

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

INTRODUCTION TO JAVA

INTRODUCTION TO JAVA Topics: Sekilas Info Installing Java Persiapan Praktikum Java Source Code Structure Primitive Type PRAKTIKUM PBO INTRODUCTION TO JAVA MODUL 1 INTRODUCTION TO JAVA Variable Naming Java Keywords Operator

Lebih terperinci

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10 " VGA Onboard Keyboard PS2 Mouse PS2

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10  VGA Onboard Keyboard PS2 Mouse PS2 Netbeans IDE Netbeans merupakan IDE (Integreted Development Environment) yang powerfull untuk membangun sebuah aplikasi berbasis Java, PHP, C/C++, Ruby dan lainnya. Netbeans awalnya diciptakan hanya untuk

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

SEM. 4 KOMUNIKASI DATA

SEM. 4 KOMUNIKASI DATA No. PSM/DEL/02 Revisi : 02 Tgl : 4 Maret 2008 Hal 1 dari 10 I. Pokok Bahasan Transmisi Data Paralel II. Judul Kendali Nyala 8 Led dengan Port Printer LPT1 IV. Tujuan Setelah selesai praktek diharapkan

Lebih terperinci

Modul Praktikum Ke-2

Modul Praktikum Ke-2 Bahasa Pemrograman Dasar Fathurrohman 6 Modul Praktikum Ke-2 (Method, Objek Kontrol (OptionButton, CheckBox, Frame), Variabel, Tipe Data) Aktifkan VB 6 melalui tombol Start. Buka kembali project Latihan1.vbp:

Lebih terperinci

Algoritma Pemrograman A

Algoritma Pemrograman A Algoritma Pemrograman A Memahami Proyek Proyek dan Dasar Dasar Delphi Code Memahami proyek Delphi Komponen Proyek Project Explorer Windows Dasar-Dasar Delphi Code Procedures IDE (Intregated Development

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS 29 BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS Dengan menggunakan Visual Basic 6.0 aplikasi perangkat ajar pengelolaan dan perhitungan ekspresi matematika yang akan dibangun dalam penelitian

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

TIPE DATA PADA JAVA. Pertemuan (K-04/L-04)

TIPE DATA PADA JAVA. Pertemuan (K-04/L-04) TIPE DATA PADA JAVA Pertemuan (K-04/L-04) Alangkah baiknya kita mempelajari terlebih dahulu tentang apa itu tipe data dan mengenal ada berapa tipe data yang digunakan dalam Bahasa Pemrograman Java. Sudah

Lebih terperinci

DT-HiQ AVR Bootloader v1.0

DT-HiQ AVR Bootloader v1.0 DT-HiQ AVR Bootloader v1.0 Petunjuk Penggunaan Trademarks & Copyrights Windows is a registered trademark of Microsoft Corporation. Pentium is a registered trademark of Intel Corporation. AVR is a registered

Lebih terperinci

MODUL PRAKTIKUM ALGORITMA & BAHASA PEMROGRAMAN I (BASIC) Dosen Pengasuh : Suroto, S.Kom, M.Ak

MODUL PRAKTIKUM ALGORITMA & BAHASA PEMROGRAMAN I (BASIC) Dosen Pengasuh : Suroto, S.Kom, M.Ak MODUL PRAKTIKUM ALGORITMA & BAHASA PEMROGRAMAN I (BASIC) Dosen Pengasuh : Suroto, S.Kom, M.Ak UNIVERSITAS BATAM PRAKTIKUM I FLOWCHART 1. Buatlah flowchart untuk menghitung luas segitiga 2. Buatlah flowchart

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Rancangan Perangkat Keras 3.1.1 Blok Diagram Sistem Blok diagram dari sistem AVR standalone programmer adalah sebagai berikut : Tombol Memori Eksternal Input I2C PC SPI AVR

Lebih terperinci

Tabel 1. Instruksi untuk menampilkan teks No. Bahasa Pemrograman Instruksi 1. Pascal WRITE ( Bahasa pemrograman itu mudah );

Tabel 1. Instruksi untuk menampilkan teks No. Bahasa Pemrograman Instruksi 1. Pascal WRITE ( Bahasa pemrograman itu mudah ); PERTEMUAN I BAHASA PEMROGRAMAN JAVA DAN PENGENALAN NETBEANS 1. Program dan Bahasa Pemrograman Di dalam dunia komputer sering kali dijumpai istilah program dan bahasa pemrograman. Kedua istilah tersebut

Lebih terperinci

PART 5 TRAINING INPUT. Dosen : Dwisnanto Putro, ST, M.Eng

PART 5 TRAINING INPUT. Dosen : Dwisnanto Putro, ST, M.Eng PART 5 TRAINING INPUT Dosen : Dwisnanto Putro, ST, M.Eng Input Input 1 = Mikrokontroler menerima Input berupa tegangan (+) Input 0 = Mikrokontroler menerima Input berupa tegangan ( ) ataupun Ground Cara

Lebih terperinci

BAB III PERANCANGAN DAN PEMODELAN

BAB III PERANCANGAN DAN PEMODELAN BAB III PERANCANGAN DAN PEMODELAN Pada bab ini akan membahas mengenai perancangan dan pemodelan serta realisasi dari perangkat keras dan perangkat lunak untuk alat pengukur kecepatan dengan sensor infra

Lebih terperinci

Modeling Tools StarUML

Modeling Tools StarUML StarUML Pengenalan Modeling Tools StarUML Pemodelan merupakan suatu hal yang tidak bisa dilepaskan dari pembangunan aplikasi. Sebagai cikal-bakal dari suatu aplikasi, proses memodelkan tentu bukan hal

Lebih terperinci

URAIAN POKOK PERKULIAHAN

URAIAN POKOK PERKULIAHAN Minggu ke : 1 Penyusun : Dian Usdiyana Rini Marwati Materi : 1. Pengenalan Delphi 1.1 IDE 1.2 Bagian-bagian IDE 1.3 Membuat & Menyimpan Program 1.4 Memanggil & Mengedit Program 1.5 Simbol Button 1.6 Label

Lebih terperinci

Pertemuan 4 Array pada Java

Pertemuan 4 Array pada Java Pertemuan 4 Array pada Java Objektif : 1. Mahasiswa dapat memahami pengertian Array pada Java 2. Mahasiswa dapat mengetahui bentuk umum dari Array 3. Mahasiswa dapat mengetahui jenis-jenis Array pada Java

Lebih terperinci

IV. HASIL DAN PEMBAHASAN. Dalam tugas akhir ini dirancang sebuah alat penghitung populasi walet berbasis AVR

IV. HASIL DAN PEMBAHASAN. Dalam tugas akhir ini dirancang sebuah alat penghitung populasi walet berbasis AVR IV. HASIL DAN PEMBAHASAN A. Prinsip Kerja Alat Dalam tugas akhir ini dirancang sebuah alat penghitung populasi walet berbasis AVR ATmega8535. Alat ini mampu menghitung setiap walet yang masuk dan keluar

Lebih terperinci

Merancang Project. Form Module Class Module Report. Form 1, Form 2, Minimarket (NamaProject) Gambar 4.1 Flowchart Project Sistem Informasi Minimarket

Merancang Project. Form Module Class Module Report. Form 1, Form 2, Minimarket (NamaProject) Gambar 4.1 Flowchart Project Sistem Informasi Minimarket Merancang Project Setelah desain database dan tabel selesai, langkah berikutnya adalah desain project menggunakan Visual Basic 6.0. Berikut tahap-tahap yang harus kita lakukan untuk merancang program sesuai

Lebih terperinci

BAB 1 KONSEP DASAR BAHASA C

BAB 1 KONSEP DASAR BAHASA C BAB 1 KONSEP DASAR BAHASA C 1. Sejarah dan Standar C Akar dari bahasa C adalah bahasa BCPL yang dikembangkan oleh Martin Richard pada tahun 1967. Bahasa ini memberikan ide kepada Ken Thompson yang kemudian

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT 37 BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Pengukuran dan Pengujian Pengukuran dan pengujian alat bertujuan agar dapat diketahui sifat dan karakteristik tiap blok rangkaian dan fungsi serta cara kerja

Lebih terperinci

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 Alur Pembuatan Program Mikrokontroler TEKNIK PEMOGRAMAN TEKNIK PEMOGRAMAN PEMOGRAMAN DENGAN BAHASA ASSEMBLER Dalam pembuatan perintah (program) pada tahapan

Lebih terperinci

BAB I PENDAHULUAN Pengantar Bahasa ISETL

BAB I PENDAHULUAN Pengantar Bahasa ISETL BAB I PENDAHULUAN 1.1. Pengantar Bahasa ISETL 1.1.1. Pemrograman Dewasa ini perkembangan teknologi berkembang dengan pesatnya dan dapat digunakan dalam segala bidang, diantaranya bidang kesehatan, bidang

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

Pengenalan Pascal. Sejarah Singkat Pascal

Pengenalan Pascal. Sejarah Singkat Pascal Pengenalan Pascal Sejarah Singkat Pascal Dirancang oleh Prof. Nicklaus Wirth dari Technical University di Zurich, Switzerland tahun 1971. Nama Pascal berasal dari Blaise Pascal, nama ahli matematika dan

Lebih terperinci

MEMPROGRAM MIKROKONTROLER DENGAN BAHASA C MENGGUNAKAN WIN-AVR

MEMPROGRAM MIKROKONTROLER DENGAN BAHASA C MENGGUNAKAN WIN-AVR MEMPROGRAM MIKROKONTROLER DENGAN BAHASA C MENGGUNAKAN WIN-AVR Dalam turorial ini akan mengenai dijelaskan cara penggunaan WinAVR (baca: whenever ) di dalam platform system operasi Windows. Untuk dapat

Lebih terperinci

SMA SANTO PAULUS PONTIANAK

SMA SANTO PAULUS PONTIANAK SMA SANTO PAULUS PONTIANAK Konsep Dasar Pemrograman Pascal Kelas X Semester 2 Pengayaan Teknologi Informasi dan Komunikasi Oleh : Vianney Alexius, mtb TIK-vianney.mtb 2012 Algoritma Serangkaian langkah

Lebih terperinci

Pemrograman dengan C++ Builder 2004 Taryana S Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan

Pemrograman dengan C++ Builder 2004 Taryana S Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan 1.1. Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan memanfaatkan keistimewaan konsep- konsep antar muka grafis dalam Microsoft Windows. Aplikasi yang dihasilkan

Lebih terperinci

Modul Praktikum 1 Pemograman Berorientasi Objek

Modul Praktikum 1 Pemograman Berorientasi Objek Modul Praktikum 1 Pemograman Berorientasi Objek 1. Judul : Mengenal Lingkup Pemograman 2. Tujuan Percobaan : Diakhir praktikum, mahasiswa diharapkan mampu : Menuliskan program Java menggunakan text editor

Lebih terperinci

Rancangan Dan Pembuatan Storage Logic Analyzer

Rancangan Dan Pembuatan Storage Logic Analyzer Rancangan Dan Pembuatan Storage Logic Analyzer M. Ulinuha Puja D. S.,Pembimbing 1:Waru Djuriatno, Pembimbing 2:Moch. Rif an Abstrak Teknologi yang berkembang pesat saat ini telah mendorong percepatan di

Lebih terperinci

Pencipta bahasa C adalah Brian W. Kernighan dan Dennis M. Ritchie pada. sekitar tahun C adalah bahasa pemrograman terstruktur, yang membagi

Pencipta bahasa C adalah Brian W. Kernighan dan Dennis M. Ritchie pada. sekitar tahun C adalah bahasa pemrograman terstruktur, yang membagi 2.. PerangkatLunak 2.1.1. Pemrograman Bahasa C Pencipta bahasa C adalah Brian W. Kernighan dan Dennis M. Ritchie pada sekitar tahun 1972. C adalah bahasa pemrograman terstruktur, yang membagi program dalam

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci