Pengenalan FPGA oleh Iman Taufik Akbar

Ukuran: px
Mulai penontonan dengan halaman:

Download "Pengenalan FPGA oleh Iman Taufik Akbar"

Transkripsi

1 Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx Virtex-5. Berikut adalah penampakan dari development kit tersebut: Anda terlebih dahulu perlu mengunduh perangkat lunak pendukung untuk development board tersebut yaitu digilent adept, dan dapat Anda temukan pada link berikut ini:

2 Berikut ini adalah tampilan halaman yang akan Anda temukan pada link tersebut: Unduh sesuai dengan sistem operasi yang Anda gunakan. Pada tutorial ini digunakan v untuk sistem operasi Microsoft Windows. Setelah itu, lanjutkan dengan proses instalasi yang tampilannya seperti ini:

3 Bisa terlihat pada gambar instalasi tersebut, pada sudut kanan atas bagian Connect berisi informasi bahwa development kit yang digunakan belum terkoneksi ( No Device Connected ). Untuk melakukan koneksi, terlebih dahulu geser saklar pada development kit ke posisi off (lingkaran merah pada gambar), lalu pasang konektor mikro USB pada development kit yang ditandai dengan tulisan DIGILENT USB (lingkaran hijau pada gambar). Berikut ini adalah gambarnya: Setelah itu, pilihlah kaki adaptor yang sesuai dengan yang Anda gunakan, lalu pasang dengan cara menggesernya sesuai dengan arah panah pada gambar berikut ini hingga terdengar suara klik. Lalu pasang ujung kabel adaptor pada development kit seperti pada gambar berikut ini:

4 Setelah kabel adaptor terpasang, lanjutkan dengan menggeser saklar ke posisi On. Dan kembali ke proses instalasi perangkat lunak. Masih pada jendela instalasi, pada bagian Connect, pilih Genesys seperti pada gambar berikut ini: Setelah proses inisialisasi selesai, lanjutkan dengan fitur pengetesan. Pada window instalasi, pilih tab Test seperti pada gambar berikut:

5 Pada bagian tersebut, Anda cukup menekan tombol Start Test, maka proses instalasi akan melakukan test pada development board seperti pada gambar berikut ini: Pada gambar di atas, bisa terlihat bahwa ketika test dimulai, semua led indikator pada development board menyala (dengan catatan semua saklar berada pada posisi di atas). Selain itu, terlihat juga pada LCD muncul tulisan GENESYS User Test Started. Dari sini, Anda dapat mencoba untuk menggeser saklar SW0 hingga SW7 untuk melihat apa yang akan terjadi dengan indikator led dan LCD. Selain itu Anda juga dapat menekan tombol BTN0, BTN1 dan BTN3 untuk melihat apakah tombol-tombol tersebut berfungsi dengan baik. Perlu dicatat bahwa untuk BTN3, Anda dapat menggesernya ke arah atas, bawah, kiri dan kanan. Setelah proses instalasi selesai, Anda dapat melanjutkan dengan memilih bahasa pemrograman yang akan digunakan. Bahasa yang umum digunakan untuk pemrograman FPGA adalah VHDL dan Verilog. Jika dilihat secara sepintas, Verilog agak mirip dengan bahasa C, sedangkan VHDL lebih mirip dengan bahasa pemrograman Ada. Adapun untuk teks editor, Anda dapat menggunakan teks editor yang Anda sukai, namun untuk memudahkan, Anda dapat menggunakan teks editor bawaan dari IDE Xilinx ISE. Anda dapat mengunduh aplikasi Xilinx ISE Design Suite (pada saat tutorial ini dibuat v14.7) pada halaman berikut ini: Perlu diingat bahwa aplikasi tersebut adalah aplikasi berbayar, namun tetap dapat digunakan dengan batasan waktu eksekusi binary yang dihasilkan dari proses kompilasi. Selain aplikasi tersebut, terdapat juga aplikasi lain yaitu Xilinx ISE WebPack Design Software yang sifatnya Free dan cukup untuk development. Aplikasi Xilinx ISE WebPack dapat diunduh pada halaman berikut ini:

6 Setelah mengunduh aplikasi Xilinx ISE Design Suite ataupun Xilinx ISE WebPack, Anda perlu untuk mengunduh reference manual dari development kit yang digunakan. Berikut ini adalah halaman dimana Anda dapat mengunduh reference manual tersebut: Setelah mengunduh reference manual tersebut, Anda dapat memulai dengan melihat wiring diagram untuk input dan output (I/O) development kit yang digunakan. Pada reference manual tersebut, terdapat pada halaman 18 untuk referensi Switch, Reset, BTN, dan Led seperti pada gambar berikut ini: Dengan melihat gambar di atas, kita dapat mengetahui wiring diagram antara Switch, BTN, maupun Led dengan FPGA Xilinx Virtex yang digunakan oleh development kit ini. Selanjutnya adalah memulai proses pemrograman. Adapun format standar untuk pemrograman menggunakan bahasa VHDL adalah sebagai berikut:

7 Bahasa pemrograman VHDL tidak mengenal case sensitive, jadi A sama dengan a dan lain sebagainya. Untuk program pertama yang akan kita buat adalah program untuk menyalakan led LD0 yang berada pada alamat AG8 seperti yang dapat dilihat pada gambar wiring diagram di halaman terdahulu. Pada aplikasi ISE Designer, pilih menu File -> New Project. Lalu masukkan nama dan lokasi dimana file akan disimpan seperti pada gambar berikut ini: Setelah itu, tekan tombol Next, dan pada halaman berikutnya akan muncul tampilan seperti ini: Pada halaman ini, beberapa informasi yang harus diisi dapat dilihat pada informasi yang disertakan bersama development kit. Informasi tersebut diantaranya, family Virtex5, device XC5VLX50T, package FF1136, dan preferred language diisi VHDL. Untuk lebih lengkapnya mengenai cara membaca label IC

8 FPGA Xilinx, dapat dilihat pada ebook Xilinx Virtex-5 FPGA Packaging and Pinout Specification yang dapat diunduh pada link berikut ini: Setelah menekan tombol Next pada halaman tersebut, akan muncul halaman ringkasan mengenai informasi yang telah dimasukkan, pada halaman ini tekan tombol Finish. Sekarang, kita akan mulai melakukan pemrograman. Pada bagian toolbar, pilih menu Project -> New Source. Kemudian pilih VHDL module, dan masukkan nama file, lalu tekan tombol Next, maka akan muncul halaman entity. Pada halaman entity cukup tekan tombol Next karena untuk program awal ini tidak akan digunakan, lalu terakhir tekan tombol Finish. Berikut ini adalah listing program yang akan kita gunakan: Setelah menuliskan program di atas, kita harus menentukan lokasi Led0. Caranya adalah, pilih menu Project -> New Source -> Implementation Constraints File. Kemudian masukkan nama file pada text

9 box Filename. Tekan tombol Next lalu tekan tombol Finish. Setelah itu, masukkan pada teks editor baris berikut ini: Setelah itu simpan semua file dengan memilih menu Save All. Lalu pada tab Design, pilih Generate Programming File dan double klik serta tunggu beberapa saat hingga selesai. Jika telah selesai, maka pada panel Design akan terlihat bahwa Synthesize, Implement Design dan Generate Programming File akan berubah menjadi hijau semua, berikut ini adalah tampilan panel Design: Sampai pada langkah ini, terdapat dua jenis file yang telah dibuat, yaitu file berjenis *.vhd yang digunakan untuk menuliskan program, dan file berjenis *.ucf dimana kita menuliskan alamat I/O yang akan kita gunakan yaitu pada contoh ini Led0 berada pada pin AG8. Selanjutnya adalah melakukan upload program yang telah kita buat tersebut ke development kit. Untuk itu, kita akan menggunakan aplikasi Digilent Adept. Pada tab Config, pilih Browse, lalu arahkan ke lokasi dimana project yang kita buat tadi berada dan pilih file yang memiliki ekstensi *.bit, lalu tekan tombol Open. Setelah itu, kembali pada tab Config pada aplikasi Digilent Adept, tekan tombol Program dan tunggu hingga prosesnya selesai. Secara normal, apabila proses download ke FPGA telah selesai, maka led berwarna merah yang posisinya dekat tombol reset akan menyala seperti pada gambar berikut:

10 Sekarang, kita dapat melihat hasil eksekusi program yang telah kita buat tadi, yaitu led pada Led0 akan menyala seperti ini: Pada program selanjutnya, kita akan menyalakan led dari Led0 hingga Led7. Berikut ini adalah listing programnya: library IEEE; use IEEE.STD_LOGIC_1644.ALL; entity Led0_Led7 is port( LED: out std_logic_vector(7 downto 0)); end Led0_Led7; architecture Behavioral of Led0_Led7 is begin LED <= (others => '1'); end Behavioral;

11 Dan berikut ini adalah listing untuk implementation constraints: NET LED<0> LOC = AG8 ; NET LED<1> LOC = AH8 ; NET LED<2> LOC = AH9 ; NET LED<3> LOC = AG10 ; NET LED<4> LOC = AH10 ; NET LED<5> LOC = AG11 ; NET LED<6> LOC = AF11 ; NET LED<7> LOC = AE11 ; Setelah menuliskan ke-dua file tersebut, lanjutkan dengan langkah generate dan download hasilnya ke development kit, maka lampu LD0 hingga LD7 akan menyala semua seperti pada gambar berikut ini: Pada gambar di atas, bisa terlihat bahwa led LD0 hingga LD7 semuanya menyala, walaupun switch SW0 hingga SW7 dalam posisi off. Kembali merujuk pada wiring diagram pada beberapa halaman di depan, switch tersebut tidak terhubung langsung dengan led, melainkan terhubung dengan IC FPGA. Selanjutnya kita akan membuat program yang akan menyalakan led berdasarkan posisi switch. Maksudnya led LD0 akan menyala jika switch SW0 berada pada posisi on dan seterusnya hingga LD7 dan SW7. Listing programnya dapat dilihat pada halaman selanjutnya:

12 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity Switch_LED is port( SW0 : in STD_LOGIC := '1'; SW1 : in STD_LOGIC := '1'; SW2 : in STD_LOGIC := '1'; SW3 : in STD_LOGIC := '1'; SW4 : in STD_LOGIC := '1'; SW5 : in STD_LOGIC := '1'; SW6 : in STD_LOGIC := '1'; SW7 : in STD_LOGIC := '1'; LED0 : out STD_LOGIC := '1'; LED1 : out STD_LOGIC := '1'; LED2 : out STD_LOGIC := '1'; LED3 : out STD_LOGIC := '1'; LED4 : out STD_LOGIC := '1'; LED5 : out STD_LOGIC := '1'; LED6 : out STD_LOGIC := '1'; LED7 : out STD_LOGIC := '1'; end Switch_LED; architecture Behavioral of Switch_LED is begin LED0 <= SW0; LED1 <= SW1; LED2 <= SW2; LED3 <= SW3; LED4 <= SW4; LED5 <= SW5; LED6 <= SW6; LED7 <= SW7; end Behavioral; Dan untuk listing implementation constraints dapat dilihat pada halaman selanjutnya.

13 NET LED0 LOC = AG8 ; NET LED1 LOC = AH8 ; NET LED2 LOC = AH9 ; NET LED3 LOC = AG10 ; NET LED4 LOC = AH10 ; NET LED5 LOC = AG11 ; NET LED6 LOC = AF11 ; NET LED7 LOC = AE11 ; NET SW0 LOC = J19 ; NET SW1 LOC = L18 ; NET SW2 LOC = K18 ; NET SW3 LOC = H18 ; NET SW4 LOC = H17 ; NET SW5 LOC = K17 ; NET SW6 LOC = G16 ; NET SW7 LOC = G15 ; Setelah itu, seperti langkah-langkah sebelumnya, generate lalu upload ke development kit. Hasilnya adalah sebagai berikut: Bisa terlihat bahwa led LD0, LD2, LD4, dan LD6 kondisinya menyala, sedangkan LD1, LD3, LD5 dan LD7 berada dalam kondisi padam sesuai dengan posisi saklar SW0, SW2, SW4 dan SW6 yang berada pada posisi on serta SW1, SW3, SW5 dan SW7 yang berada pada posisi off. Langkah selanjutnya adalah mencoba melakukan sedikit optimisasi program yang telah kita buat tersebut. Berikut ini adalah listring program dengan sedikit optimisasi:

14 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity switch_led is port( led : out std_logic_vector (7 downto 0); sw : in std_logic_vector (7 downto 0) := (others => '1')); end switch_led; architecture Behavioral of switch_led is begin led(0) <= sw(0); led(1) <= sw(1); led(2) <= sw(2); led(3) <= sw(3); led(4) <= sw(4); led(5) <= sw(5); led(6) <= sw(6); led(7) <= sw(7); end Behavioral; Adapun untuk file implementation constraints, tidak mengalami perubahan. Selanjutnya, untuk menguji listring di atas, lakukan seperti langkah-langkah sebelumnya yaitu dengan generate lalu upload ke development kit. Sekian tutorial singkat kali ini, semoga bermanfaat. Sampai jumpa di tutorial berikutnya.

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 2: Multiplekser 4 Masukan dan Enkoder Prioritas 4-ke-2 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 1: Pengenalan Xilinx ISE dan Sistem Masukan-Keluaran 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengenalkan praktikan software Xilinx ISE Webpack

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Spesifikasi Sistem Spesifikasi yang ada pada sistem ini terbagi menjadi dua tahapan, yaitu spesifikasi perangkat keras yang digunakan dan spesifikasi perangkat lunak

Lebih terperinci

PENGEMBANGAN PROJECT MICROCONTROLLER DENGAN ARDUINO IDE

PENGEMBANGAN PROJECT MICROCONTROLLER DENGAN ARDUINO IDE PENGEMBANGAN PROJECT MICROCONTROLLER DENGAN ARDUINO IDE Dian Mustika Putri mustika@raharja.info :: https://dianmstkputri.wordpress.com Abstrak Pengembangan Microcontroller Arduino pada kalangan Programmer

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

MODUL 1 INSTALASI PAKET JAVA DAN PROGRAM SEDERHANA

MODUL 1 INSTALASI PAKET JAVA DAN PROGRAM SEDERHANA MODUL 1 INSTALASI PAKET JAVA DAN PROGRAM SEDERHANA A. Target Pembelajaran 1. Siswa mampu menginstal JDK 2. Siswa mampu menjalankan eclipse 3. Siswa mampu membuat program sederhana B. Materi 1. Pengenalan

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN 3.1. ANALISIS 3.1.1 Analisis Masalah Berdasarkan permasalahan yang dijelaskan oleh penulis sebelumnya, bahwa dengan perkembangan kemajuan kehidupan manusia di tuntut untuk

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

Belajar mikrokontroler Arduino untuk tingkat Pemula.

Belajar mikrokontroler Arduino untuk tingkat Pemula. Belajar mikrokontroler Arduino untuk tingkat Pemula. Arduino sudah menjadi salah satu modul mikrokontroler yang cukup populer sejak beberapa tahun ini. Sifatnya yang open source dan semakin banyak dukungan

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT 37 BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Pengukuran dan Pengujian Pengukuran dan pengujian alat bertujuan agar dapat diketahui sifat dan karakteristik tiap blok rangkaian dan fungsi serta cara kerja

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT. Proses perancangan meliputi tujuan dari sebuah penelitian yang kemudian muncul

BAB III PERANCANGAN DAN PEMBUATAN ALAT. Proses perancangan meliputi tujuan dari sebuah penelitian yang kemudian muncul 19 BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Perancangan Perancangan merupakan tata cara pencapaian target dari tujuan penelitian. Proses perancangan meliputi tujuan dari sebuah penelitian yang kemudian

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

Prosedur Program. Pada topik ini akan dijelaskan langkah-langkah dalam proses instalasi driver USB pada Windows XP adalah sebagai berikut dibawah ini:

Prosedur Program. Pada topik ini akan dijelaskan langkah-langkah dalam proses instalasi driver USB pada Windows XP adalah sebagai berikut dibawah ini: 1. Menginstall Software Arduino Prosedur Program File instalasi software Arduino dapat diperoleh pada alamat situs web di bawah ini yang tersedia untuk sistem operasi Windows, Mac dan Linux: http://arduino.cc/en/main/software

Lebih terperinci

BAB 4 ANALISA PENGUJIAN PROGRAM 4.1. PROSES PERANCANGAN PROGRAM BASCOM-8051

BAB 4 ANALISA PENGUJIAN PROGRAM 4.1. PROSES PERANCANGAN PROGRAM BASCOM-8051 42 BAB 4 ANALISA PENGUJIAN PROGRAM 4.1. PROSES PERANCANGAN PROGRAM BASCOM-8051 Untuk membuat Program Mikrokontroller dengan menggunakan Bahasa Basic Compiler kita harus menuliskan Program tersebut di dalam

Lebih terperinci

Membuat Project dengan CodeVisionAVR.

Membuat Project dengan CodeVisionAVR. Membuat Project dengan CodeVisionAVR. Pada penjelasan berikutnya, sebagai contoh digunakan modul AVR yang mempunyai hubungan sebagai berikut: PortA terhubung dengan 8 buah LED dengan operasi aktif high

Lebih terperinci

Dalam pengoperasiannya ada tiga jenis pengoperasian yang harus dilakukan pada stasiun bumi pemantau gas rumah kaca ini, yaitu :

Dalam pengoperasiannya ada tiga jenis pengoperasian yang harus dilakukan pada stasiun bumi pemantau gas rumah kaca ini, yaitu : III. PETUNJUK PENGOPERASIAN ALAT Dalam pengoperasiannya ada tiga jenis pengoperasian yang harus dilakukan pada stasiun bumi pemantau gas rumah kaca ini, yaitu : 1. Prosedur Data Logging, yaitu langkah-langkah

Lebih terperinci

PENDAHULUAN TENTANG NETBEANS

PENDAHULUAN TENTANG NETBEANS PENDAHULUAN TENTANG NETBEANS Yuliana Setiowati Politeknik Elektronika Negeri Surabaya 1 TOPIK Pendahuluan IDE Netbeans Membuat Project Baru. 2 Konsep Netbeans Netbeans sebagai IDE ditujukan untuk memudahkan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA SISTEM

BAB IV PENGUJIAN DAN ANALISA SISTEM BAB IV PENGUJIAN DAN ANALISA SISTEM Bab ini akan membahas pengujian dan analisa sistem yang telah dibuat sebelumnya. Pengujian dilaksanakan secara berulang untuk mendapatkan data yang valid, data yang

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT 38 BAB III PERANCANGAN ALAT Pada bab ini akan dijelaskan tentang perancangan Alat pendeteksi dini kerusakan pada sistem pengkondisian udara secara umum alat ini terdiri dari 2 bagian. Bagian pertama yaitu

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Pembahasan dalam Bab ini meliputi pengujian dari setiap bagian kemudian dilakukan pengujian secara keseluruhan. Ada beberapa tahapan pengujian untuk yang harus dilakukan untuk

Lebih terperinci

Menuntun Anda membuat sketch HelloWorld. Menjelaskan diagram alir pemrograman HelloWorld. Menjelaskan cara memprogram Arduino

Menuntun Anda membuat sketch HelloWorld. Menjelaskan diagram alir pemrograman HelloWorld. Menjelaskan cara memprogram Arduino Bab 5 Program Arduino Anda Yang Pertama Bab ini : Menuntun Anda membuat sketch HelloWorld Menjelaskan diagram alir pemrograman HelloWorld Menjelaskan cara memprogram Arduino Menjalankan sketch HelloWorld

Lebih terperinci

BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE. 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa

BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE. 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa a. Buka program GMWIN 4.0 dengan cara klik Start Windows All Programs

Lebih terperinci

AT89 USB ISP Trademarks & Copyright

AT89 USB ISP Trademarks & Copyright AT89 USB ISP Trademarks & Copyright PC is a trademark of International Business Machines Corporation. Windows is a registered trademark of Microsoft Corporation. MCS-51 is a registered trademark of Intel

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

PANDUAN PENGGUNAAN PRODUK

PANDUAN PENGGUNAAN PRODUK PANDUAN PENGGUNAAN PRODUK Besekotis D-Series V2 JOATSY JOGJA AUTOMATION SYSTEM Jl. Industri, Kepek, Wonosari, Gunungkidul, Yogyakarta Hp : 085729319996 Wa : 089505850594 Tim Developer Besekotis Januari

Lebih terperinci

BAB IV HASIL DAN PEMBAHASAN

BAB IV HASIL DAN PEMBAHASAN BAB IV HASIL DAN PEMBAHASAN 4.1 Pengujian LCD 4.1.1 Tujuan Tujuan dari pengujian ini adalah untuk menampilkan perintah microcontroller ke LCD. 4.1.2 Alat yang digunakan 1. LCD 2x16 2. Minimum System ATmega32

Lebih terperinci

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot.

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot. 1. Cara membuka aplikasi Delphi 7 Start All Programs Borland Delphi 7 Delphi 7 2. Perkenalan jendela Delphi 7 Ket : 1. Object Tree View Merupakan sebuah diagram pohon yang menggambarkan hubungan logis

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

Instalasi & Konfigurasi MySQL Server

Instalasi & Konfigurasi MySQL Server Instalasi & Konfigurasi MySQL Server 1. Download MySQL Download MySQL Community Server 5.6 dari : http://dev.mysql.com/downloads/mysql/ Pada halaman berikutnya, pilih MySQL Installer yang berukuran besar

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI Implementasi Program Simulasi. mengevaluasi program simulasi adalah sebagai berikut :

BAB 4 IMPLEMENTASI DAN EVALUASI Implementasi Program Simulasi. mengevaluasi program simulasi adalah sebagai berikut : BAB 4 IMPLEMENTASI DAN EVALUASI 4.1. Implementasi Program Simulasi Dari keseluruhan perangkat lunak yang dibuat pada skripsi ini akan dilakukan implementasi untuk mengetahui kelebihan dan kekurangan simulasi

Lebih terperinci

ELKAHFI 200 TELEMETRY SYSTEM

ELKAHFI 200 TELEMETRY SYSTEM ELKAHFI 200 TELEMETRY SYSTEM User Manual Edisi September 2006 ELKAHFI Design & Embedded System Solution Daftar Isi Pengenalan Elkahfi Telemetry System Pendahuluan 1 Kelengkapan Telemetry System 2 Spesifikasi

Lebih terperinci

BAB III PERANCANGAN DAN PEMODELAN

BAB III PERANCANGAN DAN PEMODELAN BAB III PERANCANGAN DAN PEMODELAN Pada bab ini akan membahas mengenai perancangan dan pemodelan serta realisasi dari perangkat keras dan perangkat lunak untuk alat pengukur kecepatan dengan sensor infra

Lebih terperinci

Instalasi IBM Storage DS3000 pada Windows Server 2008 Haruno Sajati, S.T. Jurusan Teknik Elektro STT Adisutjipto

Instalasi IBM Storage DS3000 pada Windows Server 2008 Haruno Sajati, S.T. Jurusan Teknik Elektro STT Adisutjipto Instalasi IBM Storage DS3000 pada Windows Server 2008 Haruno Sajati, S.T. Jurusan Teknik Elektro STT Adisutjipto Pendahuluan Saat pertama kali melihat IBM Storage DS3000 pertanyaan saya cuma 1. Bagaimana

Lebih terperinci

Membuat File Database & Tabel

Membuat File Database & Tabel Membuat File Database & Tabel Menggunakan MS.Office Access 2013 Database merupakan sekumpulan data atau informasi yang terdiri atas satu atau lebih tabel yang saling berhubungan antara satu dengan yang

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN ALAT

BAB III ANALISIS MASALAH DAN RANCANGAN ALAT BAB III ANALISIS MASALAH DAN RANCANGAN ALAT III.1. Analisa Masalah Rotating Display adalah alat untuk menampilkan informasi berupa tulisan bergerak dengan menggunakan motor DC. Hal ini berkaitan dengan

Lebih terperinci

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10 " VGA Onboard Keyboard PS2 Mouse PS2

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10  VGA Onboard Keyboard PS2 Mouse PS2 Netbeans IDE Netbeans merupakan IDE (Integreted Development Environment) yang powerfull untuk membangun sebuah aplikasi berbasis Java, PHP, C/C++, Ruby dan lainnya. Netbeans awalnya diciptakan hanya untuk

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Setelah perancangan software dan alat, tahap selanjutnya yaitu pengujian, tujuan dari pengujian ini adalah untuk mengetahui kinerja dari alat pengendali pintu

Lebih terperinci

PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO

PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO I. Tujuan PRAKTIKUM MATA KULIAH MIKROPROSESSOR DAN BAHA ASSEMBLY INPUT/OUTPUT PADA ARDUINO Mengamati keluaran data berupa nyala LED dari Arduino Memanfaatkan Port Input dan Output pada Arduino untuk transfer

Lebih terperinci

SISTEM PENDETEKSI WARNA DAN NOMINAL UANG UNTUK PENYANDANG TUNA NETRA BERBASIS ARDUINO UNO

SISTEM PENDETEKSI WARNA DAN NOMINAL UANG UNTUK PENYANDANG TUNA NETRA BERBASIS ARDUINO UNO SISTEM PENDETEKSI WARNA DAN NOMINAL UANG UNTUK PENYANDANG TUNA NETRA BERBASIS ARDUINO UNO STANDAR OPERASIONAL PROSEDUR (S.O.P) Disusun untuk Memenuhi Syarat Menyelesaikan Pendidikan Diploma III pada Jurusan

Lebih terperinci

PERCOBAAN I PENGENALAN CODEVISION AVR

PERCOBAAN I PENGENALAN CODEVISION AVR PERCOBAAN I PENGENALAN CODEVISION AVR TUJUAN Memahami cara membuat file project dengan aplikasi CodeVision AVR Memahami cara menggunakan CodeWizzard Memahami cara menampilkan data ke port output Memahami

Lebih terperinci

Aegis Padlock Portable Secure Hard Drive...5. Isi Kemasan Tombol Panel Aegis Padlock...6. Aegis Padlock Permulaan...6. Sebelum penggunaan...

Aegis Padlock Portable Secure Hard Drive...5. Isi Kemasan Tombol Panel Aegis Padlock...6. Aegis Padlock Permulaan...6. Sebelum penggunaan... Hak Cipta Apricorn, Inc 2010. All rights reserved. Windows adalah merek dagang terdaftar dari Microsoft Corporation. Semua merek dagang dan hak cipta disebut adalah milik dari pemiliknya masing-masing.

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3.

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. BAB 4 IMPLEMENTASI DAN EVALUASI Pada bab ini akan dijelaskan mengenai langkah-langkah implementasi serta evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. 4.1 Spesifikasi Sistem Pada spesifikasi

Lebih terperinci

BAB IV HASIL PENGUJIAN DAN PENGAMATAN. pengujian perangkat lunak (software) dan kinerja keseluruhan sistem, serta analisa

BAB IV HASIL PENGUJIAN DAN PENGAMATAN. pengujian perangkat lunak (software) dan kinerja keseluruhan sistem, serta analisa BAB IV HASIL PENGUJIAN DAN PENGAMATAN Dalam bab ini penulis akan menguraikan dan menjelaskan beberapa hasil pengujian dari hasil penelitian tugas akhir ini. Pengujian yang dilakukan meliputi pengujian

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C.

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C. BAB II DASAR TEORI 2.1 ARDUINO Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai bidang.

Lebih terperinci

Pembuatan Report menggunakan JasperReports dan ireport

Pembuatan Report menggunakan JasperReports dan ireport Pembuatan Report menggunakan JasperReports dan ireport Oleh : Tutorial ini adalah lanjutan dari tutorial sebelumnya yang berjudul Pemrograman Database Java- MySQL bisa dibaca di http://www.scribd.com/doc/72455088/pemrograman-database-java-mysql.

Lebih terperinci

PROGRAMMABLE LOGIC CONTROLER (PLC)

PROGRAMMABLE LOGIC CONTROLER (PLC) PROGRAMMABLE LOGIC CONTROLER (PLC) Tujuan Setelah mempelajari modul ini, diharapkan peserta mampu : Memahami fungsi PLC Mampu membuat program PLC Mampu menerapkan PLC untuk menyelesaikan permasalahan kontrol

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

Bab I Pengenalan Visual BASIC

Bab I Pengenalan Visual BASIC Bab I Pengenalan Visual BASIC 1. Pendahuluan Visual BASIC (Beginners All-Purpose Symbolic Instruction Code) merupakan sebuah bahasa pemrograman yang dapat digunakan untuk membuat suatu aplikasi dalam Microsoft

Lebih terperinci

SP-1101W/SP-2101W Panduan Instalasi Cepat

SP-1101W/SP-2101W Panduan Instalasi Cepat SP-1101W/SP-2101W Panduan Instalasi Cepat 05-2014 / v1.0 1 I. Informasi Produk I-1. Isi Paket Smart Plug Switch Panduan Instalasi Cepat CD dengan panduan instalasi cepat I-2. Panel Depan Power LED Tombol

Lebih terperinci

1. Pendahuluan. 2. Tujuan. 3. Gambaran Disain. MODUL 1 Code Composer Studio Basic

1. Pendahuluan. 2. Tujuan. 3. Gambaran Disain. MODUL 1 Code Composer Studio Basic MODUL 1 Code Composer Studio Basic 1. Pendahuluan DSP adalah mikroprosesor untuk aplikasi khusus. Arsitekturnya dirancang supaya dapat mengolah persamaan matematis dengan cepat. Seperti halnya mikroprosesor,

Lebih terperinci

Pemrograman Visual (Borland Delphi 7.0)

Pemrograman Visual (Borland Delphi 7.0) Pemrograman Visual (Borland Delphi 7.0) Pengenalan Aplikasi Visual Aplikasi adalah adalah suatu subkelas perangkat lunak komputer yang memanfaatkan kemampuan komputer langsung untuk melakukan suatu tugas

Lebih terperinci

BAB III PERANCANGAN. 3.1 Perancangan Alat Kuisioner dengan Wireless Elektronika Berbasis

BAB III PERANCANGAN. 3.1 Perancangan Alat Kuisioner dengan Wireless Elektronika Berbasis BAB III PERANCANGAN 3.1 Perancangan Alat Kuisioner dengan Wireless Elektronika Berbasis Arduino Perancangan merupakan tahap dalam pembuatan suatu alat, tanpa perancangan maka penulis akan menemui kesulitan

Lebih terperinci

PEMROGRAMAN MIKROKONTROLER

PEMROGRAMAN MIKROKONTROLER BUKU 1 P E N G E N A L A N PEMROGRAMAN MIKROKONTROLER Tutorial, panduan step by step dan contoh pemrograman dengan: cara yang mudah software yang free perangkat yang ekonomis REVISI 1.0 O L E H : L U K

Lebih terperinci

PELATIHAN EAGLE DESIGN SCHEMATIC AND LAYOUT

PELATIHAN EAGLE DESIGN SCHEMATIC AND LAYOUT PELATIHAN EAGLE (EASILY APPLICABLE GRAPHICAL LAYOUT EDITOR) DESIGN SCHEMATIC AND LAYOUT OLEH: INSTITUT SAINS DAN TEKHNOLOGI AKPRIND Y O G Y A K A R T A 2013 BAB I Pendahuluan EAGLE merupakan perangkat

Lebih terperinci

USB PROGRAMMER (USBasp)

USB PROGRAMMER (USBasp) USB PROGRAMMER (USBasp) 1. INSTALASI USB PROGRAMMER Sebelum dapat digunakan, USB programmer harus diinstalasi terlebih dahulu, baik instalasi hardware maupun instalasi software. A. Instalasi Hardware Seperti

Lebih terperinci

Reni Nuraeni,ST,M.Pd (widyaiswara Muda)

Reni Nuraeni,ST,M.Pd (widyaiswara Muda) BELAJAR MUDAH MIKROKONTROLER ARDUINO MELALUI BLUETOOTH (BLUINO) Reni Nuraeni,ST,M.Pd (widyaiswara Muda) Email r3ni.nuraeni@gmail.com Abstrak Perancangan sistem kontrol saat ini sangat berkembang dengan

Lebih terperinci

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK 4.1 Pengukuran Alat Pengukuran dilakukan untuk melihat apakah rangkaian dalam sistem yang diukur sesuai dengan spesifikasi

Lebih terperinci

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS DT-51 AT89C51XXX BMS Application Note Oleh: Tim IE Application Note (AN) ini disusun untuk memberikan penjelasan tentang cara penggunaan DT-51 AT89C51XXX Bootloader Micro System beserta software pendukungnya.

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Perancangan Sistem Perancangan Simulasi pengendali pintu gerbang Melalui media Bluetooth pada Ponsel bertujuan untuk membuat sebuah prototype yang membuka, menutup

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M. LAPORAN PRAKTIKUM KELAS PTIK 05 2014 TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. memudahkan penggunaan elektronik dalam berbagai BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai

Lebih terperinci

MENGOPERASIKAN SISTEM OPERASI

MENGOPERASIKAN SISTEM OPERASI MENGOPERASIKAN SISTEM OPERASI software system operasi generasi terakhir yang dikeluarkan Microsoft adalah Windows 95 Windows 98 Windows XP dan Vista Windows 7 Windows Me Sofware yang dirancangan khusus

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software Arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler Arduino menggunakan Arduino

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Dalam Bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Program pengujian disimulasikan di suatu sistem yang sesuai. Pengujian ini dilaksanakan

Lebih terperinci

BAB 3 PERANCANGAN ALAT DAN PROGRAM

BAB 3 PERANCANGAN ALAT DAN PROGRAM BAB 3 PERANCANGAN ALAT DAN PROGRAM Sistem akuisisi data ekonomis berbasis komputer atau personal computer (PC) yang dibuat terdiri dari beberapa elemen-elemen sebagai berikut : Sensor, yang merupakan komponen

Lebih terperinci

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array)

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) 1 DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) Lailis Syafa ah, M.Yance Promesta Jurusan Elektro, Fakultas Teknik, Universitas Muhammadiyah Malang Jln.

Lebih terperinci

AVR USB ISP Trademarks & Copyright

AVR USB ISP Trademarks & Copyright AVR USB ISP Trademarks & Copyright PC is a trademark of International Business Machines Corporation. Windows is a registered trademark of Microsoft Corporation. AVR is registered trademark of Atmel Corporation.

Lebih terperinci

Output LED. Menggunakan Arduino Uno MinSys

Output LED. Menggunakan Arduino Uno MinSys Output LED Menggunakan Arduino Uno MinSys Mahasiswa mampu memahami pemrograman C pada Arduino Uno MinSys Mahasiswa mampu membuat program output LED dengan Arduino Uno MinSys A. Hardware Arduino Uno Minsys

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

Trademarks & Copyright

Trademarks & Copyright ProgPAL User Guide Trademarks & Copyright DT-51 is a trademark of Innovative Electronics. PC, AT, and IBM are trademarks of International Business Machines. Windows is registered trademark of Microsoft

Lebih terperinci

BAB III METODOLOGI PENELITIAN

BAB III METODOLOGI PENELITIAN BAB III METODOLOGI PENELITIAN 3.1 Alat dan Bahan Alat dan bahan yang digunakan dalam penelitian ini adalah telepon seluler, kartu GSM, rangkaian MAX232, rangkaian mikrokontroller, perangkat relay, LDR,

Lebih terperinci

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember

Control Engineering Laboratory Electrical Engineering Department Faculty of Electrical Technology Institut Teknologi Sepuluh Nopember PRAKTIKUM 2 SISTEM PENGATURAN TEMPERATUR TUJUAN 1. Memahami tipe pengaturan ON-OFF dan PID pada sistem pengaturan temperatur 2. Memahami data logging menggunakan DAQ Master REFERENSI TK4 SERIES Introduction

Lebih terperinci

1. MENGENAL VISUAL BASIC

1. MENGENAL VISUAL BASIC 1. MENGENAL VISUAL BASIC 1.1 Mengenal Visual Basic 6.0 Bahasa Basic pada dasarnya adalah bahasa yang mudah dimengerti sehingga pemrograman di dalam bahasa Basic dapat dengan mudah dilakukan meskipun oleh

Lebih terperinci

Berinternet Melalui Ponsel CDMA

Berinternet Melalui Ponsel CDMA Berinternet Melalui Ponsel CDMA Tedy Tirtawidjaja 23 April 2007 Layanan CDMA (Code Division Multiple Access) memiliki fitur akses internet berkecepatan tinggi. Tidak sama halnya dengan layanan GSM yang

Lebih terperinci

BAB I. 1 P e m r o g r a m a n V i s u a l B a s i c - J a t i L e s t a r i

BAB I. 1 P e m r o g r a m a n V i s u a l B a s i c - J a t i L e s t a r i BAB I Konsep Visual Basic: Penerapan di dunia Usaha, Instalasi serta Pengenalan IDE (Integrated Development Environment) VB dan konsep pemrograman visual I. Tujuan Praktikum 1. Mahasiswa mengenal VB, penerapan

Lebih terperinci