Percobaan IV PENGENALAN VHDL

Ukuran: px
Mulai penontonan dengan halaman:

Download "Percobaan IV PENGENALAN VHDL"

Transkripsi

1 IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut. IV.2 Pengantar Berikut ini akan dijelaskan elemen dasar yang dari VHDL yang meliputi : identifier, data object, data types, entity, architecture dan package. Identifier Identifier dalam VHDL terdiri dari satu atau lebih karakter yang berupa karakter huruf, angka atau garis bawah dengan aturan berikut : Karakter yang diperbolehkan adalah huruf besar(a..z), huruf kecil(a..z), huruf(..9) dan garis bawah(_). Karakter pertama harus berupa huruf. Karakter terakhir tidak diperbolehkan berupa garis bawah(_), penggunaan 2 karakter garis bawah(_) juga tidak diperbolehkan. Penggunaan huruf besar dan huruf kecil dianggap sama (tidak case sensitive). Komentar dalam VHDL dimulai dengan karakter --. Data Objects Dalam VHDL dikenal 3 obyek data yaitu : Konstanta, Variable dan Signal Konstanta Obyek konstanta dapat menyimpan sebuah nilai yang didefiniskan saat deklarasi konstanta. Nilai yang sudah didefinisikan tersebut tidak dapat diubah selama proses desain. Deklarasi : constant identifier[,identifier..]:type[:value]; Contoh : constant bus_width: integer := 8; Variabel Obyek variabel dapat menyimpan sebuah nilai yang diberikan saat desain, dan nilai tersebut dapat dirubah kapanpun saat desain. Deklarasi : variable identifier[,identifier..]:type[:value]; Contoh : variable ctrl_bits: std_logic; Signal Obyek Signal bisa disamakan dengan variable, perbedaannya adalah bahwa signal dapat menyimpan ataupun melepaskan nilai logika, sedangkan variable tidak dapat, oleh karena itu, signal dapat diwujudkan dalam elemen memori. Deklarasi : signal identifier[,identifier..]:type[:value]; Contoh : signal con: std_logic; Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

2 IV-2 Data Types Pada warp, sudah didefinisikan beberapa type data yang sering dipakai, yaitu : integer, boolean, bit, character, string, bit_vector, std_logic dan std_logic_vector. Entity VHDL tersusun oleh pasangan entity dan architecture-nya, entity mendefinisikan desain I/Oatau interface-nya, sedangkan architecture menyatakan kandungan atau kelakuan dari desain. Pasangan entity dan architecture dapat digunakan sebagai desain yang lengkap atau dapat digunakan juga sebagai komponen. Kode program dari deklarasai entity adalah : ENTITY entity-name IS PORT [signal][sig-name,..]:[direction] type; [;signal[sig-name,..]:[direction] type].. ); END entity-name; Nama entity yang sudah dibuat akan dijadikan referansi untuk architecture-nya. Entity mendeklarasikan port, port adalah obyek dari kelas signal yang didefinisikan pada entity. Masing-masing port memiliki nama port, mode dan type. Type yang dipakai pada port adalah in (default), out, inout dan buffer. Architecture Architecture pada suatu desain menggambarkan tentang kelakuan/proses serta struktur dari entity. Kode program dari deklarasi architecture adalah: ARCHITECTURE architecture-name OF entity-name IS [type-declarations] [signal-declarations] [constant-declarations] BEGIN [architecture definition] END architecture-name; Package Sebuah package dapat mendeklarasikan komponen berupa pasangan entity dan architecture, type, konstanta atau fungsi agar item-item tersebut dapat digunakan pada desain yang lain. Package sering kali dituliskan sebelum entity dan architecture. Agar sebuah desain dapat menggunakan package yang sudah dibuat, maka pada desain tersebut harus memanggil package yang akan dipakai dengan menggunakan klausa USE Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

3 IV-3 IV.3 Flip Flop IV.3.a Alat dan Bahan. Personal Computer Buah. 2. Software WARPR 6.3 Galaxy 3. Software Active-HDL Simulator IV.3.b Percobaan Membuat AND 2 Input menggunakan VHDL Pada percobaan ini akan dibuat sebuah aplikasi gerbang AND dengan signal input input() dan input() dan signal output y, seperti ditunjukkan gambar V- Gambar IIV- AND 2 input. Bukalah Sofware Galaxy 2. Buat Project baru melalui menu File > New, kemudian pilih Project [Target - Device], kemudian klik Ok 3. Pilih VHDL pada Project Type. 4. Masukkan nama Project-nya and3in 5. Masukkan Project Path pada direktori : C:\Rangkaian Logika\VHDL\and3in 6. Klik Next untuk menuju Add Files Wizard. Add Files Wizard digunakan untuk menambahkan file VHDL kedalam Project. Abaikan saja dialog tersebut dan klik Next untuk menuju ke Target Device Wizard. 7. Pilihlah device nya dengan cara memilih SPLD (Small PLDs) ->c22v, pada Package pilih PALC22VD-25PC (gambar V-2) 8. Klik Finish untuk membuat project 9. Klik Yes untuk menyimpan project. Buatlah file baru melalui File -> New. Pilih Text File, lalu klik Ok, kemudian akan muncul text editor seperti pada gambar V-2 Gambar IIV-2 Memilih Device Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

4 IV-4 Gambar IIV-3 Editor yang masih kosong 2. Simpan file kosong tersebut melalui File -> Save, letakkan dalam satu direktori dengan file project and3in.pfg dan beri nama file tersebut and2in.vhd 3. Berikutnya adalah menuliskan entity, kita akan membuat entity untuk gerbang AND dengan 2 input, entity mendeklarasikan nama, arah dan type data dari masingmasing port yang akan digunakan. Tuliskan entity berikut ini : entity and2in is port( input: in std_logic_vector( downto ); output: out std_logic); end and2in; 4. Langkah berikutnya adalah menuliskan Architecture dari AND 2 input yang kita buat. Architecture mendefinisikan kelakuan dari komponen yang dibuat, dan letaknya selalu berada setelah entity, ketikkan architecture AND 2 input berikut : architecture archand2in of and2in is begin and2in: process (input) begin if (input = "") then output <= ''; elsif (input = "") then output <= ''; elsif (input = "") then output <= ''; elsif (input = "") then output <= ''; end if; end process; end archand2in; Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

5 IV-5 5. Langkah berikutnya adalah menuliskan Package dari gerbang AND 2 input tadi, tuliskan Package dengan nama and2in_pkg dengan kode program dibawah ini!, letakkan sebelum entity! package and2in_pkg is component and2in port(input: in std_logic_vector( downto ); output: out std_logic); end component; end and2in_pkg; 6. Langkah berikutnya adalah menuliskan Library, ketikkan library sesuai dengan kode program dibawah ini!, letakkan satu sebelum Package dan satu lagi sebelum Entity! library ieee; use ieee.std_logic_64.all; Membuat AND 3 Input menggunakan VHDL Pada percobaan diatas, kita sudah membuat gerbang AND 2 input dan memasukkannya kedalam package dengan nama and2in_pkg. Pada percobaan ini kita akan membuat gerbang AND 3 input dengan mempergunakan AND 2 input yang sudah dibuat tersebut, secara visual dapat digambarkan seperti gambar V-4 Gambar IIV-4 AND 3 input menggunakan AND 2 input Dari gerbang AND 2 input teresebut kita perlu membuat program lagi untuk merangkaikannya menjadi gerbang AND 3 input. 7. Buat file text baru, dan beri nama and3in.vhd, letakkan dalam folder yang sama dengan file Project and3in.pfg! 8. Tuliskan library, entity dan architecture-nya dengan kode program berikut ini : Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

6 IV-6 library ieee; use ieee.std_logic_64.all; use work.and2in_pkg.all; entity and3in is port ( a,b,c: in std_logic; y: out std_logic); attribute pin_numbers of and3in:entity is " a: b:3 c:5 y:5 "; end and3in; architecture archand3in of and3in is signal con : std_logic; begin and_: and2in port map ( input() => a, input() => b, output => con ); and_2: and2in port map ( input() => con, ); end archand3in; input() => c, output => y 9. Langkah selanjutnya adalah menambahkan file VHDL and2in.vhd dan and3in.vhd kedalam project. Tambahkan file tersebut melalui Project -> Add Files, apabila sudah selesai, klik Ok 2. Langkah selanjutnya adalah menjadikan file and3in.vhd sebagai Top Level. Buatlah file and3in sebagai top level melalui klik kanan kemudian pilih Set Top 2. Agar proses kompilasi berjalan sesuai dengan yang diinginkan, perlu dilakukan setting pada compiler, Klik Project -> Compiler Options, pada I/O, Unused Outputs: pilih, dan pada Simulation, Timing Model, pilih Active- HDLSIM/Active-VHDL 22. Kemudian Compile project tersebut melalui Compile -> Project Mensimulasikan dengan Active-HDL Sim. Bukalah aplikasi Active-HDL Sim dari Tools -> Active-HDL Sim 2. Bukalah file and3in.vhd pada direktori C:\Rangkaian Logika\VHDL\and3in\vhd melalui menu File -> Open VHDL 3. Kemudian akan muncul jendela seperti gambar dibawah ini : Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

7 IV-7 Gambar IIV-5 Active-HDL Sim 4. Tambahkan sinyal yang mau disimulasikan dengan cara memilih Waveform -> Add Signals, tambahkan sinyal a, b, c dan y kedalam simulasi dengan cara double-klik Gambar IIV-6 Memilih Sinyal 5. Setelah selesai klik Add 6. Pada sinyal a, set Stimulator dengan cara Klik-kanan pilih Stimulators, pada Stimulator type: pilih Clock, panjang gelombangnya beri nilai 2ns, kemudian klik Apply, setelah selesai, klik Close Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

8 IV-8 Gambar IIV-7 Stimulators 7. Dengan cara yang sama, set stimulators untuk sinyal b dengan tipe Clock dengan panjang gelombang ns 8. Dengan cara yang sama, set stimulators untuk sinyal c dengan tipe Clock dengan panjang gelombang 5ns 9. Pada toolbar isian nilai ns, isikan nilai baru 4ns. Pada toolbar, klik Run Until. Dengan mengatur gambar melalui Zoom in dan Zoom out maka anda akan mendapatkan gambar simulasi berikut ini : Gambar IIV-8 Hasil simulasi 2. Dari hasil simulasi diatas, lengkapi tabel berikut : a b c y Tabel IIV- Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

9 IV-9 IV.3.c Pertanyaan. Perhatikan kode berikut ini : entity and2in is port( input: in std_logic_vector( downto ); output: out std_logic); end and2in; Jelaskan maksud dari kode: input:in std_logic_vector( down to )! Jelaskan perbedaannya dengan kode : output: out std_logic! 2. Dengan menggunakan Package and2in_pkg diatas, buatlah aplikasi baru untuk gerbang gate 4 input! 3. Buatlah kode program aplikasi Demultiplexer 2 to 4 dengan menggunakan VHDL, buat menjadi sebuah package! Praktikum Rangkaian Logika Laboratorium Komputer dan Digital B4/B2

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

PERCOBAAN I PENGENALAN CODEVISION AVR

PERCOBAAN I PENGENALAN CODEVISION AVR PERCOBAAN I PENGENALAN CODEVISION AVR TUJUAN Memahami cara membuat file project dengan aplikasi CodeVision AVR Memahami cara menggunakan CodeWizzard Memahami cara menampilkan data ke port output Memahami

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp Terbit pertama: Juni 2005 Sinopsis singkat:

Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp Terbit pertama: Juni 2005 Sinopsis singkat: Spesifikasi: Ukuran: 11x18 cm Tebal: 144 hlm Harga: Rp 19.800 Terbit pertama: Juni 2005 Sinopsis singkat: Membahas teknik pembuatan aplikasi database menggunakan Microsoft Access Project 2003 dan SQL Server

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL

MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL MODUL 2 TIPE DATA, KONSTANTA DAN VARIABEL Program yang dijalankan dengan menggunakan aplikasi console pada Visual C++ 2008 akan membaca data dari command line dan hasilnya akan ditampilkan dalam command

Lebih terperinci

Modul Praktikum Ke-2

Modul Praktikum Ke-2 Bahasa Pemrograman Dasar Fathurrohman 6 Modul Praktikum Ke-2 (Method, Objek Kontrol (OptionButton, CheckBox, Frame), Variabel, Tipe Data) Aktifkan VB 6 melalui tombol Start. Buka kembali project Latihan1.vbp:

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS

BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS 29 BAB III ANALISIS DAN PERANCANGAN APLIKASI 3.1 ANALISIS Dengan menggunakan Visual Basic 6.0 aplikasi perangkat ajar pengelolaan dan perhitungan ekspresi matematika yang akan dibangun dalam penelitian

Lebih terperinci

BAB 1 KONSEP DASAR BAHASA C

BAB 1 KONSEP DASAR BAHASA C BAB 1 KONSEP DASAR BAHASA C 1. Sejarah dan Standar C Akar dari bahasa C adalah bahasa BCPL yang dikembangkan oleh Martin Richard pada tahun 1967. Bahasa ini memberikan ide kepada Ken Thompson yang kemudian

Lebih terperinci

Pengenalan Visual Basic

Pengenalan Visual Basic Pengenalan Visual Basic KETERANGAN : 1. Baris Menu, digunakan untuk memilih tugas-tugas tertentu seperti menyimpan project, membuka project, dll. 2. Main Toolbar, digunakan untuk melakukan tugastugas tertentu

Lebih terperinci

INTRODUCTION TO JAVA

INTRODUCTION TO JAVA Topics: Sekilas Info Installing Java Persiapan Praktikum Java Source Code Structure Primitive Type PRAKTIKUM PBO INTRODUCTION TO JAVA MODUL 1 INTRODUCTION TO JAVA Variable Naming Java Keywords Operator

Lebih terperinci

MODUL PRAKTIKUM PEMROGRAMAN BERORIENTASI OBJEK. Maimunah, S.Si,M.Kom

MODUL PRAKTIKUM PEMROGRAMAN BERORIENTASI OBJEK. Maimunah, S.Si,M.Kom MODUL PRAKTIKUM PEMROGRAMAN BERORIENTASI OBJEK Maimunah, S.Si,M.Kom PROGRAM STUDI TEKNIK KOMPUTER FAKULTAS TEKNIK UNIVERSITAS ISLAM 45 BEKASI 2014 1 Peraturan : 1. Mahasiswa harus berpakaian rapi dalam

Lebih terperinci

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu

BAB IV PERANCANGAN DAN PEMBAHASAN. simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu BAB IV PERANCANGAN DAN PEMBAHASAN Pada bab ini akan diterangkan secara detail mengenai perancangan trainer simulator HMI berbasis PLC. Simulator ini memiliki beberapa bagian penting yaitu perancangan hardware

Lebih terperinci

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

KATA PENGANTAR. Depok, Oktober 2004 Penyusun KATA PENGANTAR Modul Perancangan Sistem Digital menggunakan Simulator Xilinx Foundation F2.1i ini ditujukan bagi peserta kursus satu minggu dengan judul yang sama yang diselenggarakan oleh Universitas

Lebih terperinci

URAIAN POKOK PERKULIAHAN

URAIAN POKOK PERKULIAHAN Minggu ke : 1 Penyusun : Dian Usdiyana Rini Marwati Materi : 1. Pengenalan Delphi 1.1 IDE 1.2 Bagian-bagian IDE 1.3 Membuat & Menyimpan Program 1.4 Memanggil & Mengedit Program 1.5 Simbol Button 1.6 Label

Lebih terperinci

PART 7. Void & Define. Dosen : Dwisnanto Putro, S.T, M.Eng

PART 7. Void & Define. Dosen : Dwisnanto Putro, S.T, M.Eng PART 7 Void & Define Dosen : Dwisnanto Putro, S.T, M.Eng LED (LIGHT EMITING DIODE) Untuk LED ANODA : Logika 0 = led menyala Logika 1 = led mati Untuk LED KATODA : Logika 0 = led mati Logika 1 = led menyala

Lebih terperinci

TABEL DATABASE TABEL - KODE BARANG TOKO INFOMART BARANG - NAMA BARANG - HARGA

TABEL DATABASE TABEL - KODE BARANG TOKO INFOMART BARANG - NAMA BARANG - HARGA TABEL Dalam pembuatan database, data yang pertama dibuat adalah tabel. Tabel merupakan kumpulan data yang tersusun menurut aturan tertentu dan merupakan komponen utama pada database. Table disusun dalam

Lebih terperinci

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH YOGYAKARTA 2016 MIKROKONTROLER UNTUK PEMULA

Lebih terperinci

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10 " VGA Onboard Keyboard PS2 Mouse PS2

Rekomendasi Min Perangkat Keras. Prosesor dual core 1.5 GHz RAM 2 GB Monitor 10  VGA Onboard Keyboard PS2 Mouse PS2 Netbeans IDE Netbeans merupakan IDE (Integreted Development Environment) yang powerfull untuk membangun sebuah aplikasi berbasis Java, PHP, C/C++, Ruby dan lainnya. Netbeans awalnya diciptakan hanya untuk

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

Algoritma Pemrograman A

Algoritma Pemrograman A Algoritma Pemrograman A Memahami Proyek Proyek dan Dasar Dasar Delphi Code Memahami proyek Delphi Komponen Proyek Project Explorer Windows Dasar-Dasar Delphi Code Procedures IDE (Intregated Development

Lebih terperinci

BAB 4 PEMBUATAN PAKET INSTALLER

BAB 4 PEMBUATAN PAKET INSTALLER BAB 4 PEMBUATAN PAKET INSTALLER Pada bab ini kita akan membahas tentang cara membuat installer Aplikasi Perpustakaan Sekolah yang telah kita buat tadi. Kita akan menggunakan tools yang ada pada program

Lebih terperinci

PENGENALAN NETBEANS Algoritma & Pemrograman 2016/2017 Hastha Sunardi 2016 PENGENALAN NETBEANS. (Pertemuan: K[-]/L[1])

PENGENALAN NETBEANS Algoritma & Pemrograman 2016/2017 Hastha Sunardi 2016 PENGENALAN NETBEANS. (Pertemuan: K[-]/L[1]) PENGENALAN NETBEANS (Pertemuan: K[-]/L[1]) NetBeans adalah merupakan IDE yang ditujukan untuk memudahkan pemrograman java. Dalam NetBeans, pemrograman dilakukan berbasis visual dan event driven. Persis

Lebih terperinci

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK

BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK BAB III PERENCANAAN DAN PEMBUATAN PERANGKAT LUNAK Bab ini membahas tentang perancangan perangkat lunak yang meliputi interface PC dengan mikrokontroller, design, database menggunakan Microsoft access untuk

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

Pemrograman dengan C++ Builder 2004 Taryana S Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan

Pemrograman dengan C++ Builder 2004 Taryana S Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan 1.1. Pendahuluan C++ Builder adalah sebuah aplikasi yang digunakan untuk pengembangan dengan memanfaatkan keistimewaan konsep- konsep antar muka grafis dalam Microsoft Windows. Aplikasi yang dihasilkan

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

Gambar 1. Jendela Ms. Access Pilihan: New : menu untuk membuat file basis data baru. Recent : menu untuk membuka file basis data yang sudah ada.

Gambar 1. Jendela Ms. Access Pilihan: New : menu untuk membuat file basis data baru. Recent : menu untuk membuka file basis data yang sudah ada. Mata Pelajaran : Keterampilan Komputer dan Pengelolaan Informasi Standar Kompetensi : Microsoft Office Access Kompetensi Dasar : Mengoperasikan Software Aplikasi Basis Data Kelas : XI Pertemuan 2 A. Menjalankan

Lebih terperinci

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH 1 TUJUAN Mengetahui dan memahami cara mengantarmukakan mikrokontroler dengan rangkaian input saklar toggle. Mengetahui dan memahami bagaimana memrogram

Lebih terperinci

Merancang Project. Form Module Class Module Report. Form 1, Form 2, Minimarket (NamaProject) Gambar 4.1 Flowchart Project Sistem Informasi Minimarket

Merancang Project. Form Module Class Module Report. Form 1, Form 2, Minimarket (NamaProject) Gambar 4.1 Flowchart Project Sistem Informasi Minimarket Merancang Project Setelah desain database dan tabel selesai, langkah berikutnya adalah desain project menggunakan Visual Basic 6.0. Berikut tahap-tahap yang harus kita lakukan untuk merancang program sesuai

Lebih terperinci

Tabel 1. Instruksi untuk menampilkan teks No. Bahasa Pemrograman Instruksi 1. Pascal WRITE ( Bahasa pemrograman itu mudah );

Tabel 1. Instruksi untuk menampilkan teks No. Bahasa Pemrograman Instruksi 1. Pascal WRITE ( Bahasa pemrograman itu mudah ); PERTEMUAN I BAHASA PEMROGRAMAN JAVA DAN PENGENALAN NETBEANS 1. Program dan Bahasa Pemrograman Di dalam dunia komputer sering kali dijumpai istilah program dan bahasa pemrograman. Kedua istilah tersebut

Lebih terperinci

Penggunaan Netbeans IDE, Hello World, variable dan Operator aritmetika.

Penggunaan Netbeans IDE, Hello World, variable dan Operator aritmetika. Topik Penggunaan Netbeans IDE, Hello World, variable dan Operator aritmetika. Tujuan Setelah menyelesaikan praktikum ini, mahasiswa diharapkan mampu: 1. Menggunakan Netbeans IDE untuk membuat program Java

Lebih terperinci

BAHASA PEMROGRAMAN JAVA

BAHASA PEMROGRAMAN JAVA MI1274 Algoritma & Pemrograman Lanjut Genap 2015-2016 BAHASA PEMROGRAMAN JAVA Disusun Oleh: Reza Budiawan Untuk: Tim Dosen Algoritma & Pemrograman Lanjut Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS DT-51 AT89C51XXX BMS Application Note Oleh: Tim IE Application Note (AN) ini disusun untuk memberikan penjelasan tentang cara penggunaan DT-51 AT89C51XXX Bootloader Micro System beserta software pendukungnya.

Lebih terperinci

Krisna D. Octovhiana. 1.1 Mengenal Data dan Variabel.

Krisna D. Octovhiana. 1.1 Mengenal Data dan Variabel. Cepat Mahir Visual Basic 6.0 mail4krisna@yahoo.com Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan secara bebas untuk tujuan bukan komersial (nonprofit),

Lebih terperinci

I.1. MEMBUAT PROGRAM C DENGAN VISUAL C

I.1. MEMBUAT PROGRAM C DENGAN VISUAL C MODUL I MEMULAI BAHASA C I.1. MEMBUAT PROGRAM C DENGAN VISUAL C++ 2008 Microsoft Visual C++ 2008 (MVC++ 2008) adalah IDE (Integrated Development Environtment) yang digunakan dalam kuliah ini. Ikuti langkah-langkah

Lebih terperinci

IMPLEMENTASI PEMROGRAMAN VISUAL BASIC DENGAN DATABASE ACCESS

IMPLEMENTASI PEMROGRAMAN VISUAL BASIC DENGAN DATABASE ACCESS IMPLEMENTASI PEMROGRAMAN VISUAL BASIC DENGAN DATABASE ACCESS I. Tujuan : 1. Mahasiswa dapat memahami tentang komponen pada Visual Basic yang digunakan untuk membuat koneksi aplikasi Visual Basic dengan

Lebih terperinci

Sistem Distribusi Data Melalui COM+ Dengan Visual Basic

Sistem Distribusi Data Melalui COM+ Dengan Visual Basic Sistem Distribusi Data Melalui COM+ Dengan Visual Basic Artikel ini telah dipublikasikan pada majalah Mikrodata Vol. 5 Series 17, May 2002. Agus Kurniawan agusk@cs.ui.ac.id http://blog.aguskurniawan.net

Lebih terperinci

Dasar Pemrograman. Visual Studio Program C++ Sederhana. Yoannita, S.Kom.

Dasar Pemrograman. Visual Studio Program C++ Sederhana. Yoannita, S.Kom. Visual Studio 2008 Dasar Pemrograman Program C++ Sederhana. C/C++ C++ merupakan perkembangan leboh lanjut dari C. Bahasa C dikembangkan tahun 1972 oleh Dennis Richie di Bell Telephone laboratories. Oleh

Lebih terperinci

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot.

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot. 1. Cara membuka aplikasi Delphi 7 Start All Programs Borland Delphi 7 Delphi 7 2. Perkenalan jendela Delphi 7 Ket : 1. Object Tree View Merupakan sebuah diagram pohon yang menggambarkan hubungan logis

Lebih terperinci

MEMULAI ECLIPSE. Juli 2009 Tingkat: Oleh : Feri Djuandi Pemula Menengah Mahir.

MEMULAI ECLIPSE. Juli 2009 Tingkat: Oleh : Feri Djuandi Pemula Menengah Mahir. MEMULAI ECLIPSE Juli 2009 Tingkat: Oleh : Feri Djuandi Pemula Menengah Mahir Pendahuluan Dapatkan program Eclipse yang terakhir Java runtime environment (JRE). http://www.java.com/en/download/manual.jsp

Lebih terperinci

Turbo C adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C

Turbo C adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C 1. Pendahuluan Lingkungan Turbo C++ 4.5 Turbo C++ 4.5 adalah tool yang dipakai untuk membuat code program dalam bahasa C ataupun C++. Berikut adalah jendela utama Turbo C++ 4.5. 1 2 3 4 1 : Menu Utama

Lebih terperinci

Modul Praktikum Basis Data 11 Membuat Menu dengan Form

Modul Praktikum Basis Data 11 Membuat Menu dengan Form Modul Praktikum Basis Data 11 Membuat Menu dengan Form Pokok Bahasan : - Membuat dan menggunakan switchboard - Membuat Menu Navigasi Berupa Form Tujuan : - Mahasiswa mampu membuat dan menggunakan switchboard

Lebih terperinci

Pengenalan JavaScript

Pengenalan JavaScript Pengenalan JavaScript Tujuan - Mahasiswa memahami konsep dasar Javascript - Mahasiswa mampu memahami cara menggunakan Javascript - Mahasiswa mampu memahami dasar variabel di Javascript - Mahasiswa mampu

Lebih terperinci

BAB 2 LANDASAN TEORI. 2.1 Pengertian Data, Informasi dan Sistem Informasi

BAB 2 LANDASAN TEORI. 2.1 Pengertian Data, Informasi dan Sistem Informasi BAB 2 LANDASAN TEORI 2.1 Pengertian Data, Informasi dan Sistem Informasi 2.1.1 Data Data dapat didefinisikan sebagai bahan keterangan tentang kejadian-kejadian nyata atau fakta yang dapat dirumuskan dalam

Lebih terperinci

Modul Praktikum 1 Pemograman Berorientasi Objek

Modul Praktikum 1 Pemograman Berorientasi Objek Modul Praktikum 1 Pemograman Berorientasi Objek 1. Judul : Mengenal Lingkup Pemograman 2. Tujuan Percobaan : Diakhir praktikum, mahasiswa diharapkan mampu : Menuliskan program Java menggunakan text editor

Lebih terperinci

STMIK AMIKOM YOGYAKARTA. Kusnawi, S.Kom, M.Eng

STMIK AMIKOM YOGYAKARTA. Kusnawi, S.Kom, M.Eng STMIK AMIKOM YOGYAKARTA Kusnawi, S.Kom, M.Eng Dasar pemahaman suatu pemrograman adalah analisa suatu algoritma. Setiap algoritma yang kita susun tidak tergantung pada bahasa pemrograman tertentu. Setiap

Lebih terperinci

Struktur Data II. Bekerja Dengan Form.

Struktur Data II. Bekerja Dengan Form. Struktur Data II dengan Visual Basic Bekerja Dengan Form ptputraastawa@gmail.com Ptputraastawa.wordpress.com @2011 Data dan Variabel Penggunaan Data dan Variabel Informasi yang disimpan atau diolah oleh

Lebih terperinci

Modeling Tools StarUML

Modeling Tools StarUML StarUML Pengenalan Modeling Tools StarUML Pemodelan merupakan suatu hal yang tidak bisa dilepaskan dari pembangunan aplikasi. Sebagai cikal-bakal dari suatu aplikasi, proses memodelkan tentu bukan hal

Lebih terperinci

Krisna D. Octovhiana

Krisna D. Octovhiana Cepat Mahir Visual Basic 6.0 mail4krisna@yahoo.com Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan secara bebas untuk tujuan bukan komersial (nonprofit),

Lebih terperinci

BAB VIII MEMBUAT MENU DENGAN SWITCHBOARD MANAGER DAN PEMBUATAN STARTUP

BAB VIII MEMBUAT MENU DENGAN SWITCHBOARD MANAGER DAN PEMBUATAN STARTUP BAB VIII MEMBUAT MENU DENGAN SWITCHBOARD MANAGER DAN PEMBUATAN STARTUP Sebelum Anda membuat menu dengan menggunakan fasilitas Switchboard Manager, terlebih dulu buat file-file berikut : 1. Buat 3 (tiga)

Lebih terperinci

BAB 4 MEMBUAT LIST OF VALUE (LOV) DAN NON-BASE TABLE FIELDS

BAB 4 MEMBUAT LIST OF VALUE (LOV) DAN NON-BASE TABLE FIELDS BAB 4 MEMBUAT LIST OF VALUE (LOV) DAN NON-BASE TABLE FIELDS Merupakan suatu hal yang sangat menjemukan bagi user untuk mengingat begitu banyak kode dan bilangan pada saat memasukkan data pada form entry.

Lebih terperinci

BAB VI MENGGUNAKAN DATABASE DESKTOP

BAB VI MENGGUNAKAN DATABASE DESKTOP BAB VI MENGGUNAKAN DATABASE DESKTOP Database Dalam Delphi Delphi menyediakan fasilitas yang lengkap untuk mengolah database. Berbagai format database dapat diolah dengan Delphi. Format data base yang dapat

Lebih terperinci

Praktikum 1 Installation for OpenCV

Praktikum 1 Installation for OpenCV Praktikum 1 Installation for OpenCV Persiapan Praktikum ini dapat dikerjakan dengan syarat mahasiswa: - Memiliki konsep dasar pemrograman dan pengoperasian MS.Visual C++ - Memiliki konsep dasar image processing

Lebih terperinci

BAB 2 LANDASAN TEORI. Istilah komputer ( computer ) berasal dari bahasa latin computere yang berarti

BAB 2 LANDASAN TEORI. Istilah komputer ( computer ) berasal dari bahasa latin computere yang berarti BAB 2 LANDASAN TEORI 2.1 Pengertian Komputer Istilah komputer ( computer ) berasal dari bahasa latin computere yang berarti menghitung. Dalam bahasa Inggris berasal dari kata computer yang artinya menghitung.

Lebih terperinci

BAB I PENDAHULUAN Pengantar Bahasa ISETL

BAB I PENDAHULUAN Pengantar Bahasa ISETL BAB I PENDAHULUAN 1.1. Pengantar Bahasa ISETL 1.1.1. Pemrograman Dewasa ini perkembangan teknologi berkembang dengan pesatnya dan dapat digunakan dalam segala bidang, diantaranya bidang kesehatan, bidang

Lebih terperinci

JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED

JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED JOBSHEET I ANTARMUKA MIKROKONTROLER DENGAN LED 1 TUJUAN LED Menjelaskan rangkaian antarmuka mikrokontroler dengan LED. Mempraktekkan pemrograman mikrokontroler untuk menyalakan LED. Sebuah LED (Light Emitting

Lebih terperinci

PART 5 TRAINING INPUT. Dosen : Dwisnanto Putro, ST, M.Eng

PART 5 TRAINING INPUT. Dosen : Dwisnanto Putro, ST, M.Eng PART 5 TRAINING INPUT Dosen : Dwisnanto Putro, ST, M.Eng Input Input 1 = Mikrokontroler menerima Input berupa tegangan (+) Input 0 = Mikrokontroler menerima Input berupa tegangan ( ) ataupun Ground Cara

Lebih terperinci

MODUL X DATABASE VB. Modul Praktikum Bahasa Pemrograman Visual (BPV)

MODUL X DATABASE VB. Modul Praktikum Bahasa Pemrograman Visual (BPV) MODUL X DATABASE VB A. Tujuan 1. Memahami dan menerapkan operasi-operasi database. 2. Memahami langkah pembuatan aplikasi database. 3. Memahami konfigurasi data source. 4. Memahami pembuatan Table Adapter.

Lebih terperinci

& PEMROGRAMAN. Alex De Kweldju, S.Kom D3 Teknik Komputer Fakultas Teknik Universitas Negeri Papua

& PEMROGRAMAN. Alex De Kweldju, S.Kom D3 Teknik Komputer Fakultas Teknik Universitas Negeri Papua ALGORITMA & PEMROGRAMAN II Pascal #2 Alex De Kweldju, S.Kom D3 Teknik Komputer Fakultas Teknik Universitas Negeri Papua PASCAL Bahasa Pemrograman Terstruktur Diciptakan Nikalus Wirth Kata PASCAL untukpenghormatan

Lebih terperinci

INSTRUKSI PENCABANGAN

INSTRUKSI PENCABANGAN INSTRUKSI PENCABANGAN Sebagai pengembang (programmer) sudah pasti mengetahui jenis operasi instruksi. Salah satu yang perlu dipelajari atau dimengerti adalah operasi instruksi pencabangan. 3.1 Pencabangan

Lebih terperinci

MODUL 1 STANDAR INPUT DAN OUTPUT

MODUL 1 STANDAR INPUT DAN OUTPUT MODUL 1 STANDAR INPUT DAN OUTPUT A. TUJUAN 1. Memahami input dan output dasar pada bahasa pemrograman C/C++ 2. Memahami operasi dasar untuk input dan output pada bahasa pemrograman C/C++ 3. Mampu menciptakan

Lebih terperinci

Krisna D. Octovhiana. 1.1 Apa itu Operator?

Krisna D. Octovhiana. 1.1 Apa itu Operator? Cepat Mahir Visual Basic 6.0 mail4krisna@yahoo.com Lisensi Dokumen: Seluruh dokumen di IlmuKomputer.Com dapat digunakan, dimodifikasi dan disebarkan secara bebas untuk tujuan bukan komersial (nonprofit),

Lebih terperinci

Excel Sebagai Output VB 3.1 Excel Sebagai Output VB

Excel Sebagai Output VB 3.1 Excel Sebagai Output VB Excel Sebagai Output VB 3.1 Excel Sebagai Output VB Sebagaimana kita ketahui, VB dapat memproses data dari berbagai sumber atau format data, misalnya data dbase, Access, SQL Server, MySQL, dan lain-lain.

Lebih terperinci

TIPE DATA, VARIABLE, dan OPERATOR DELPHI

TIPE DATA, VARIABLE, dan OPERATOR DELPHI TIPE DATA, VARIABLE, dan OPERATOR DELPHI A. TIPE DATA Delphi merupakan bahasa pemrograman tingkat tinggi yang mendukung perancangan terstruktur dan berorientasi Object. Bahasa pemrograman ini berdasarkan

Lebih terperinci

Universitas Komputer Indonesia. Pemrograman dengan C++ Builder 2004 Taryana S.

Universitas Komputer Indonesia. Pemrograman dengan C++ Builder 2004 Taryana S. 3.1. Statement Aspek awal yang perlu anda ketahui dalam bahasa pemograman adalah Reserved Word dan statement. Reserved word harus kita kenal bahkan harus dihapalkan, layaknya menghapal kata-kata baru apabila

Lebih terperinci

PC-Link Application Note

PC-Link Application Note PC-Link Application Note AN122 USB to I²C Peripheral Bridge Oleh: Tim IE Aplikasi berikut adalah salah satu contoh penggunaan mode Bit Bang yang didukung oleh PC-Link USBer. Dalam aplikasi ini PC-Link

Lebih terperinci

PRAKTIKUM 1 MENGENAL BAHASA PASCAL. File, Edit, Search, Run, Compile, Debug, Tools, Option, Windows dan Help.

PRAKTIKUM 1 MENGENAL BAHASA PASCAL. File, Edit, Search, Run, Compile, Debug, Tools, Option, Windows dan Help. PRAKTIKUM 1 MENGENAL BAHASA PASCAL 1. Judul Materi/ Pokok Bahasan : Mengenal Bahasa Pascal 2. Tujuan Insruksional Khusus : Mahasiswa dapat memahami konsep dasar struktur Algoritma dan struktur program

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M. LAPORAN PRAKTIKUM KELAS PTIK 05 2014 TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN

Lebih terperinci

PRAKTIKUM 1 2 MENGENAL DELPHI

PRAKTIKUM 1 2 MENGENAL DELPHI PRAKTIKUM 1 2 MENGENAL DELPHI 1. MINGGU KE : 1 dan 2 2. PERALATAN : LCD, Perangkat Komputer 3. SOFTWARE : DELPHI 4. TUJUAN : Mahasiswa dapat Menjalankan dan mengenal bagian-bagian fasilitas IDE. Melakukan

Lebih terperinci

Pengenalan Pascal. Sejarah Singkat Pascal

Pengenalan Pascal. Sejarah Singkat Pascal Pengenalan Pascal Sejarah Singkat Pascal Dirancang oleh Prof. Nicklaus Wirth dari Technical University di Zurich, Switzerland tahun 1971. Nama Pascal berasal dari Blaise Pascal, nama ahli matematika dan

Lebih terperinci

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51

PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 PERTEMUAN TEKNIK PEMOGRAMAN MIKROKONTROLER 89C51 Alur Pembuatan Program Mikrokontroler TEKNIK PEMOGRAMAN TEKNIK PEMOGRAMAN PEMOGRAMAN DENGAN BAHASA ASSEMBLER Dalam pembuatan perintah (program) pada tahapan

Lebih terperinci

BAB 5 MEMBUAT DOKUMEN

BAB 5 MEMBUAT DOKUMEN BAB 5 MEMBUAT DOKUMEN 5.1 MEMULAI ACL DAN MEMBUAT DOKUMEN BARU ACL menyimpan semua informasi mengenai data yang digunakan kedalam sebuah file yang disebut dengan dokumen. Data yang diolah oleh komputer

Lebih terperinci

MODUL 2 PERANCANGAN INTERFACE

MODUL 2 PERANCANGAN INTERFACE 6 MODUL 2 PERANCANGAN INTERFACE A. Tujuan Praktikum 1. Mahasiswa mampu memahami struktur dasar dan konsep pemrograman berbasis objek pada Visual Basic 2. Mahasiswa mampu membuat interface antar muka untuk

Lebih terperinci