Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

dokumen-dokumen yang mirip
Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Pengenalan VHDL. [Pengenalan VHDL]

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

MATERI PELATIHAN VHDL UNTUK SINTESIS

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

BAB 3. Perancangan Sistem

Pengenalan FPGA oleh Iman Taufik Akbar

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

Percobaan IV PENGENALAN VHDL

QUARTUS DAN CARA PENGGUNAANNYA

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

BAHASA PEMROGRAMAN VHDL

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

SIMULASI RANCANGAN FILTER BUTTERWORTH MENGGUNAKAN XILINX-ISE 8.1i DAN MODELSIM 6.1b

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

PROGRAMMABLE LOGIC DEVICES

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN :

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

DESAIN KONTROL PINTU BENDUNGAN OTOMATIS UNTUK MENCEGAH BANJIR MENGGUNAKAN VHDL

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

Konferensi Nasional Sistem dan Informatika 2011; Bali, November 12, 2011

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

Finite State Machine (FSM)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

Aplikasi FPGA dalam Pengontrolan Ruangan

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs.

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

FPGA Field Programmable Gate Array

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

Desain dan Implementasi Convolutional Encoder (2, 1, 8) dalam Field Programmable Gate Array (FPGA)

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA)

BAB 3 PERANCANGAN SISTEM. Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu. Noise Shaping

SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

Teknologi Implementasi dan Metodologi Desain Sistem Digital

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array)

DESAIN ARSITEKTUR DAN IMPLEMENTASI PENGKODE-PENDEKODE HARD DECISION LDPC MENGGUNAKAN ALGORITMA MESSAGE PASSING PADA FPGA

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

SISTEM BILANGAN DIGITAL

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

KATA PENGANTAR. Depok, Oktober 2004 Penyusun

ARSITEKTUR FPGA. Veronica Ernita K.

PERANCANGAN MIKROPROSESOR 8 BIT DENGAN MENGGUNAKAN BAHASA VHDL PADA FPGA XILINX SPARTAN 3

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

MODUL PRAKTIKUM S1 LABORATORIUM TEKNIK DIGITAL FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

Bab XI, State Diagram Hal: 226

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

DESAIN KONTROL VENDING MESIN BERBASIS FPGA DENGAN FINITE STATE MACHINE

Percepatan Menggunakan Perangkat Keras

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

PERANCANGAN DAN SINTESIS ARSITEKTUR HARDWARE IFFT (INVERSE FAST FOURIER TRANSFORM) 32 TITIK BERBASIS BAHASA PEMROGRAMAN VHDL

PERANCANGAN DAN IMPLEMENTASI ALGORITMA ENKRIPSI ARCFOUR PADA PERANGKAT KRIPTOGRAFI BERBASIS FPGA

Transkripsi:

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com Penggunaan state diagram untuk membuat suatu aplikasi dalam software ISE XILINX memudahkan pengguna untuk membentuk suatu siklus keadaan, tanpa harus mengetahui skematik dan hardware description language (HDL). Kemampuan yang dihasilkan dari aplikasi ini membuat pengguna dapat merespon bentuk keluaran suatu algoritma yang sedang dibuat. Namun, konversi yang dilakukan oleh kompiler mengelompokkan menjadi satu suatu variable dalam bentuk hardware description language (HDL). Contoh sederhana ditampilkan pada gambar 1, untuk membuat lampu lalu lintas sederhana. Gambar 1 State Diagram FSM untuk lampu lalu lintas Prinsip kerja dari FSM ini adalah pada state awal semua keluaran merah, kuning dan hijau bernilai 0, ketika kondisi masukan timer 001 maka akan ke state awal dan akan menset merah dan ketika masukan timer kondisinya 010 maka akan menset kuning dan ketika timer

kondisinya 011 maka akan menset hijau dan seterusnya sampai tombol reset ditekan maka akan kembali ke kondisi state0. Hasil konversi state diagram dari gambar 1 ke VHSIC Hardware Description Language (VHDL) ditunjukkan pada listing program 1. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY SHELL_VM IS PORT (CLK,RESET,timer0,timer1,timer2: IN std_logic; hijau,kuning,merah : OUT std_logic); END; ARCHITECTURE BEHAVIOR OF SHELL_VM IS TYPE type_sreg IS (STATE0,STATE1,STATE2,STATE3); SIGNAL sreg, next_sreg : type_sreg; SIGNAL next_bp_hijau,next_bp_kuning,next_merah : std_logic; SIGNAL BP_hijau,BP_kuning: std_logic; PROCESS(CLK,next_sreg, next_bp_hijau, next_bp_kuning, next_merah) IF CLK='1' AND CLK'event THEN sreg <= next_sreg; BP_hijau <= next_bp_hijau; BP_kuning <= next_bp_kuning; merah <= next_merah; PROCESS (sreg,bp_hijau,bp_kuning,reset,timer0,timer1,timer2) next_bp_hijau <= BP_hijau;next_BP_kuning <= BP_kuning; next_merah <= '0'; next_sreg<=state0; IF ( RESET='1' ) THEN next_sreg<=state0; ELSE CASE sreg IS WHEN STATE0 => IF ( timer0='1' AND timer1='0' AND timer2='0' ) THEN next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE

ELSE next_sreg<=state0; WHEN STATE1 => IF ( timer0='0' AND timer1='1' AND timer2='0' ) THEN next_sreg<=state2; IF (( BP_hijau='1' )) THEN ELSE ELSE next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE WHEN STATE2 => IF ( timer0='1' AND timer1='1' AND timer2='0' ) THEN next_sreg<=state3; ELSE next_sreg<=state2; IF (( BP_hijau='1' )) THEN ELSE WHEN STATE3 => IF ( timer0='0' AND timer1='0' AND timer2='1' ) THEN next_sreg<=state1; next_merah<='1'; IF (( BP_kuning='1' )) THEN ELSE

ELSE next_sreg<=state3; WHEN OTHERS => END CASE; PROCESS (BP_hijau) IF (( BP_hijau='1' )) THEN hijau<='1'; ELSE hijau<='0'; PROCESS (BP_kuning) IF (( BP_kuning='1' )) THEN kuning<='1'; ELSE kuning<='0'; END BEHAVIOR; LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY VM IS PORT (timer : IN std_logic_vector (2 DOWNTO 0); CLK,RESET: IN std_logic; hijau,kuning,merah : OUT std_logic); END; ARCHITECTURE BEHAVIOR OF VM IS COMPONENT SHELL_VM PORT (CLK,RESET,timer0,timer1,timer2: IN std_logic; hijau,kuning,merah : OUT std_logic); END COMPONENT; SHELL1_VM : SHELL_VM PORT MAP (CLK=>CLK,RESET=>RESET,timer0=>timer(0),timer1=>timer(1), timer2=>timer(2),hijau=>hijau,kuning=>kuning,merah=>merah); END BEHAVIOR; Listing program 1 VHDL dari gambar 1 Sintesis merupakan suatu pemrograman dari suatu hardware description language ke bentuk suatu teknologi. Sintesis dari listing program 1 ke suatu piranti Field Programmable Gate Array (FPGA) menghasilkan duplikasi register dengan 4 states, 16 transitions, 3 masukan dengan clock dan reset, 4 keluaran. Statistik makronya menunjukkan bahwa implementasinya dikonversi

menjadi 1 FSM, 5 register dan 5 Flip-flop, sehingga Register transfer logic (RTL) dalam aplikasi FPGAnya membutuhkan 9 slices dari 4656 (0%), 5 Flip-Flop dari 9312 (0%), 17 LUT 4 masukan dari 9312 (0%), 8 IO, 8 bonded IOBs dari 232 (3%), 1 CLKs dari 24 (4%). Register transfer logic (RTL) dari gambar 1 ditunjukkan pada gambar 2. Gambar 2 Register Transfer Logic dari gambar 1 Penentuan enkoding yang digunakan dalam aplikasi state machine sangat mempengaruhi waktu tunda yang dihasilkan untuk perubahan dari state ke state. Enkoding yang digunakan dalam aplikasi state diagram dari gambar 1 adalah enkoding Gray. Dengan demikian, perubahan state yang dihasilkan adalah 000, 001, 011, 010, dan 110. Simulasi sinyal yang dihasilkan untuk mengetahui keadaan dari state ke state untuk aplikasi pada gambar 1 ditunjukkan pada gambar 3. Diagram bentuk gelombang digunakan untuk mencari suatu kebenaran logika yang akan dihasilkan dari aplikasi teknologi FPGA.

Gambar 3 Diagram bentuk gelombang dari gambar 1 Waktu periode minimum dari aplikasi gambar 1 sebesar 3,550ns (Frekuensi maksimum: 281.690MHz). Daftar Pustaka Pedroni V.A., 2004, Circuit Design with VHDL, MIT Press, London, England. Sjoholm, S. dan Lindh, L., 1997, VHDL for designers, Prentice Hall Europe, Great Britain. Short, K.L., 2009, VHDL for Engineers, Pearson Education, Inc, Upper Saddle River, New Jersey.