MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

Ukuran: px
Mulai penontonan dengan halaman:

Download "MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA"

Transkripsi

1 MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad ( ) Yonas Dwiananta ( ) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB Abstrak Percobaan yang dilakukan kali ini adalah merancang sendiri rangkaian digital yang terdiri dari tahapan desain, implementasi, dan pengujuan sistem. Persyaratannya adalah : menggunakan satu atau lebih interface yang ada di board (VGA, soundcard, LCD, USB, dan lainnya), interaktif (mempunyai input, push button, mouse, keyboard), mempunyai bagian FSM, seidikitnya terdiri dari 3 blok. Kata kunci: FPGA, VHDL, VGA. 1. PENDAHULUAN Percobaan kali ini dilakukan dengan tujuan: 1. Menspesifikasikan suatu system digital sederhana. 2. Membagi system menjadi satu atau lebih jalur data dan kembali. 3. Mendesain jalur data untuk sistem. 4. Mendesain kendali untuk sistem. 5. Mengintegrasikan jalur data dan kendali ke dalam sistem secara keseluruhan. 6. Melakukan tes menyeluruh terhadap sistem. 7. Mengimplementasikan sistem digital menggunakan FPGA dan komponen tambahan yang diperlukan. 8. Menguji dan menganalisa sistem yang sudah dibangun Untuk itu, dilakukan percobaan seperti menghasilkan keluaran berwarna merah dan putih, seperti bendera Indonesia pada layar, agar praktikan mengerti apa saja yang sebenarnya dibutuhkan untuk menghasilkan keluaran seperti diatas. Percobaan membuat kotak bertujuan sama, agar praktikan lebih paham lagi tentang kode VHDL. Percobaan terakhir dilakukan agar praktikan lebih mengerti fungsi counter dan cara kerja menggerakkan suatu objek pada VGA. 2. STUDI PUSTAKA 2.1 FSM Ada dua jenis rangkaian yaitu rangkaian kombinasional dan rangkaian sekuensial. Perbedaan mendasar dari kedua rangkaian tersebut adalah ada tidaknya memori state. Keluaran rangkaian sekuensial bergantung pada state dan bergantung pada masuknya (rangkaian Mealy) atau hanya tergantung pada state saja (rangkaian Moore) salah satu model yang sering digunakan untuk membantu merancang rangkaian sekuensial adalah Finite State Machine (FSM). Dinamakan FSM karena jumlah state yang mungkin terbatas dan rangkaian sekuensial bekerja mirip dengan mesin yang beroperasi dengan urutan state. Level abstaksi perancangan FSM pun bertingkattingkat. pada perancangan dengan level behavioral, sebelum mengimplementasikan menggunakan VHDL, kita cukup membuat state diagram atau flow chart statenya. Deklarasi-deklarasi yang harus ada pada state diagram adalah deklarasi input dan output, definisi state, transisi dan keluarannya. Gambar di bawah adalah contoh gambar state diagram FSM Mealy. 2.2 VGA Video Graphics Array (VGA) masih menjadi interface yang populer untuk sebuah tampilan. VGA interface ini masih banyak ditemukan di beberapa device sekarang, misalnya layar LCD dan proyektor. VGA interface ini terdapat juga di board altera yang kita gunakan saat ini. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2 Pada percobaan kali ini tampilan VGA digunakan agar tampilan hasil desain yang kita rancang menjadi lebih menarik, tidak terbatas hanya pada LED atau 7-Segment. Tujuan percobaan kali ini juga adalah memberikan ilustrasi penggunaan interface I/O yang ada pada FPGA, misalnya GPIO, komunikasi serial menggunakan RS232, Audio CODEC, LCD karakter 16x2, dll. Interface ke VGA menggunakan 2 jenis sinyal, yaitu : sinyal warna (Merah, Hijau, dan Biru) dan sinyal sinkron (horizontal dan vertical). Berikut adalah penjelasan beberapa sinyal yang digunakan : a. Horizontal Sync (TTL level) Sinyal ini akan aktif pada range piksel kolom 0 sampai dengan 639. Sehingga kalau sinyal ini tidak aktif, yang terjadi adalah pergantian baris. b. Vertical Sync (TTL level) Sinyal ini akan aktif pada range piksel baris 0 sampai dengan 479. Sehingga kalau sinyal ini tidak aktif, yang terjadi adalah pergantian layar, atau kembali ke baris pertama. c. Sinyal RGB (Analog 3 pin: 0,7 1 V) Sinyal ini merepresentasikan intensitas untuk masing2 komponen warna merah, hijau, dan biru untuk setiap pixel yang saat itu aktif. Sehingga yang terjadi ketiga sinyal ini berubah- ubah sesuai pixel yang sedang aktif dalam proses scanning (dari kiri ke kanan untuk setiap baris, selanjutnya dari baris paling atas sampai baris paling bawah). 3.1 PERCOBAAN Manajemen File Implementasi Gambar 3-1 Diagram Percobaan 4. HASIL DAN ANALISIS 4.1 SPESIFIKASI Buat folder sebagai direktori kerja baru untuk praktikum kali ini lakukan realisasi kode dari ide yang telah dibuat Implementasikan desain tersebut di board sehingga terlihat di monitor Proyek yang praktikan buat adalah sebuah game ping-pong sederhana. Game ini terdiri dari modus: 1. Modus 2 pemain: Game ini hanya memungkinkan untuk dimainkan oleh dua orang pemain 2. Single ball mode/ Multi-ball mode: Mode standar adalah mode single-ball, sehingga pada layar hanya terdapat satu bola saja. Sedangkan apabila mode multi-ball diaktifkan, memungkinkan untuk adanya bola lebih dari satu 3. Reset score: Memungkinkan user untuk mereset scorenya 3. METODOLOGI Peralatan yang digunakan para percobaan ini antara lain: 1. Komputer/PC yang telah terinstal program Quartus II 2. Catu daya + kabel dan konektor tambahan serta kabel downloader 3. Monitor LCD 4. FPGA devepment board tipe ALTERA DE1 Gameplay: Bola akan berjalan dengan arah kanan-bawah pada awalnya, lalu apabila menabrak dinding kanan, arah horizontal bola akan berubah menjadi ke kiri namun arah vertikal tetap. Apabila menabrak dinding kanan juga arah horizontal akan berubah menjadi ke kanan namun arah vertikal tetap. Sedangkan apabila menabrak dinding atas, bawah, ataupun kedua paddle arah vertikal bola akan berubah (apabila awalnya ke arah atas akan menjadi ke arah bawah, begitupun sebaliknya) dan arah horizontal akan tetap. Apabila bola memantul dikarenakan menabrak dinding atas, score pada pemain yang di bawah akan bertambah 1, dan apabila bola memantul dikarenakan menabrak dinding bawah, score pada pemain yang di atas akan bertambah 1. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 2

3 Tugas pemain atas adalah menjaga agar bola tidak memantul di batas atas dengan menggerakan paddle yang dimilikinya ke kiri atau ke kanan, demikian sebaliknya untuk pemain bawah. Pemain dengan score terbanyak akan memenangkan game ini. 4.2 PROSES DESAIN Tidak dibutuhkan waktu lama untuk membuat konsep permainan ini, yang membutuhkan waktu ialah merealisasikan konsep ini menjadi deretan kode. Pada praktikum sebelumnya, kami telah berhasil membuat permainan ping-pong sederhana ini, tp tanpa scoring. Setelah praktikum, kode untuk scoring dibuat. Akan tetapi, pada saat hari praktikum selanjutnya, score tidak muncul ke layar, sehingga dilakukan perbaikan kode sampai akhirnya scoring bisa muncul di layar monitor. 4.3 HASIL Berikut adalah kode yang digunakan untuk membuat game ping-pong ini: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY color_rom_vhd IS PORT( i_clk i_left i_right i_up i_down i_25 i_10 i_5 i_pixel_column STD_LOGIC_VECTOR( 9 DOWNTO 0 ); i_pixel_row STD_LOGIC_VECTOR( 9 DOWNTO 0 ); o_red : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ); o_green : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ); o_blue : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )); END color_rom_vhd; ARCHITECTURE behavioral OF color_rom_vhd IS SHARED VARIABLE C_0 TEGER := 300; SHARED VARIABLE C_1 TEGER := 500; SHARED VARIABLE C2_0 TEGER := 300; SHARED VARIABLE C2_1 TEGER := 500; CONSTANT R_MAX TEGER := 480; CONSTANT C_MAX TEGER := 640; CONSTANT C_scoreatas1 TEGER := 20; CONSTANT C_scoreatas2 TEGER := 40; CONSTANT C_scorebawah1 TEGER := 420; CONSTANT C_scorebawah2 TEGER := 440; CONSTANT R_MIN TEGER := 0; CONSTANT C_MIN TEGER := 0; CONSTANT bolahawal TEGER := 600; CONSTANT bolavawal TEGER := 240; SHARED VARIABLE bolah TEGER:= 600; SHARED VARIABLE bolav TEGER:= 240; SHARED VARIABLE bolahnext TEGER:= 0; SHARED VARIABLE bolavnext TEGER:= 0; SIGNAL score1, score2, score1pul, score2pul TEGER:= 0; SIGNAL div : BIT; SIGNAL M SIGNAL B SIGNAL arahh SIGNAL arahv SIGNAL berubah CONSTANT R1 TEGER := 277; CONSTANT R4 TEGER := 335; CONSTANT R7 TEGER := 306; CONSTANT C1kiri TEGER := 12; CONSTANT C4kiri TEGER := 12; CONSTANT C7kiri TEGER := 12; CONSTANT C1kanan TEGER := 37; CONSTANT C4kanan TEGER := 37; CONSTANT C7kanan TEGER := 37; CONSTANT C2 TEGER := 39; CONSTANT C3 TEGER := 39; CONSTANT C5 TEGER := 10; CONSTANT C6 TEGER := 10; CONSTANT R2atas TEGER := 284; CONSTANT R3atas TEGER := 313; CONSTANT R5atas TEGER := 313; CONSTANT R6atas TEGER := 284; CONSTANT R2bawah TEGER := 309; CONSTANT R3bawah TEGER := 338; CONSTANT R5bawah TEGER := 338; CONSTANT R6bawah TEGER := 309; SIGNAL seg_atkir1 SIGNAL seg_atkir2 SIGNAL seg_atkir3 SIGNAL seg_atkir4 SIGNAL seg_atkir5 SIGNAL seg_atkir6 SIGNAL seg_atkir7 SIGNAL seg_atkan1 SIGNAL seg_atkan2 SIGNAL seg_atkan3 SIGNAL seg_atkan4 SIGNAL seg_atkan5 SIGNAL seg_atkan6 SIGNAL seg_atkan7 Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 3

4 SIGNAL seg_bawkir1 SIGNAL seg_bawkir2 SIGNAL seg_bawkir3 SIGNAL seg_bawkir4 SIGNAL seg_bawkir5 SIGNAL seg_bawkir6 SIGNAL seg_bawkir7 SIGNAL seg_bawkan1 SIGNAL seg_bawkan2 SIGNAL seg_bawkan3 SIGNAL seg_bawkan4 SIGNAL seg_bawkan5 SIGNAL seg_bawkan6 SIGNAL seg_bawkan7 SIGNAL menangatas SIGNAL menangbawah COMPONENT CLOCKDIV IS PORT (i_25 std_logic; i_10 std_logic; i_5 std_logic; CLK std_logic; DIVOUT: buffer BIT); end COMPONENT; BEGIN clock : CLOCKDIV PORT MAP( i_25 => i_25, i_10 => i_10, i_5 => i_5, CLK => i_clk, DIVOUT => div ); PROCESS(score1, score2) BEGIN IF (score2 =2) THEN seg_bawkir1 <= '0'; seg_bawkir4 <= '0'; seg_bawkir7 <= '0'; ELSIF (score2 =4 ) THEN seg_bawkir3 <= '0'; ELSIF (score2 =6 ) THEN ELSIF (score2 =8 ) THEN seg_bawkir1 <= '0'; seg_bawkir4 <= '0'; ELSIF (score2 =10 ) THEN seg_bawkir2 <= '0'; ELSIF (score2 =12 ) THEN seg_bawkir2 <= '0'; ELSIF (score2 =14 ) THEN seg_bawkir4 <= '0'; seg_bawkir7 <= '0'; ELSIF (score2 =16 ) THEN ELSIF (score2 =18 ) THEN ELSE seg_bawkir7 <= '0'; IF (score1 =2) THEN seg_atkir1 <= '0'; seg_atkir4 <= '0'; seg_atkir7 <= '0'; ELSIF (score1 =4 ) THEN seg_atkir3 <= '0'; ELSIF (score1 =6 ) THEN ELSIF (score1 =8 ) THEN seg_atkir1 <= '0'; seg_atkir4 <= '0'; Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 4

5 END PROCESS; ELSIF (score1 =10 ) THEN seg_atkir2 <= '0'; ELSIF (score1 =12 ) THEN seg_atkir2 <= '0'; ELSIF (score1 =14 ) THEN seg_atkir4 <= '0'; seg_atkir7 <= '0'; ELSIF (score1 =16 ) THEN ELSIF (score1 =18 ) THEN ELSE seg_atkir7 <= '0'; PROCESS(i_pixel_row,i_pixel_column, i_left, i_right, i_up, i_down) BEGIN IF ((i_pixel_column > C_0) AND (i_pixel_column < C_1) AND (i_pixel_row = R_MIN+10) ) OR ((i_pixel_column > C2_0) AND (i_pixel_column < C2_1) AND (i_pixel_row = R_MAX-10) ) THEN M <= '1'; ELSIF ((i_pixel_column > bolah-10) AND (i_pixel_column < bolah+10) AND (i_pixel_row > bolav-10) AND (i_pixel_row < bolav+10) ) THEN M <= '1'; (i_pixel_row = R1) AND (seg_bawkir1 = (i_pixel_row = R4) AND (seg_bawkir4 = (i_pixel_row = R7) AND (seg_bawkir7 = ELSIF ((i_pixel_row > R2atas) AND (i_pixel_row < R2bawah) AND (i_pixel_column = C2) AND (seg_bawkir2 = ELSIF ((i_pixel_row > R3atas) AND (i_pixel_row < R3bawah) AND (i_pixel_column = C3) AND (seg_bawkir3 = ELSIF ((i_pixel_row > R5atas) AND (i_pixel_row < R5bawah) AND (i_pixel_column = C5) AND (seg_bawkir5 = ELSIF ((i_pixel_row > R6atas) AND (i_pixel_row < R6bawah) AND (i_pixel_column = C6) AND (seg_bawkir6 = (i_pixel_row = R1-100) AND (seg_atkir1 = (i_pixel_row = R4-100) AND (seg_atkir4 = (i_pixel_row = R7-100) AND (seg_atkir7 = ELSIF ((i_pixel_row > R2atas-100) AND (i_pixel_row < R2bawah-100) AND (i_pixel_column = C2) AND (seg_atkir2 = ELSIF ((i_pixel_row > R3atas-100) AND (i_pixel_row < R3bawah-100) AND (i_pixel_column = C3) AND (seg_atkir3 = ELSIF ((i_pixel_row > R5atas-100) AND (i_pixel_row < R5bawah-100) AND (i_pixel_column = C5) AND (seg_atkir5 = ELSIF ((i_pixel_row > R6atas-100) AND (i_pixel_row < R6bawah-100) AND (i_pixel_column = C6) AND (seg_atkir6 = ELSIF ((i_pixel_row > 0) AND (i_pixel_row < 240) AND (i_pixel_column > 0) AND (menangbawah = '1')) THEN M <= '1'; ELSIF ((i_pixel_row > 240) AND (i_pixel_row < 639) AND (i_pixel_column > 0) AND (menangatas = ELSE M <= '0'; IF (B = '1') THEN o_red <= X"00"; o_green <= X"00"; o_blue <= X"FF"; ELSIF (M = '1') THEN o_red <= X"FF"; o_green <= X"00"; o_blue <= X"00"; ELSIF (M = '0') THEN o_red <= X"FF"; o_green <= X"FF"; o_blue <= X"FF"; IF ((div'event) AND (div = '1')) THEN IF ((i_left = '0') AND (C_0 > 0)) THEN C_0 := C_0-1; C_1 := C_1-1; IF ((i_right = '0') AND (C_1 <= C_MAX)) THEN C_0 := C_0 + 1; C_1 := C_1 + 1; Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 5

6 THEN IF ((i_up = '0') AND (C2_0 > 0)) C2_0 := C2_0-1; C2_1 := C2_1-1; IF ((i_down = '0') AND (C2_1 <= C_MAX)) THEN C2_0 := C2_0 + 1; C2_1 := C2_1 + 1; if (bolah=bolahawal and bolav=bolavawal) then arahh <= '1'; arahv <= '1'; elsif (bolah+10=c_max) then arahh <= '0'; arahv <= arahv; elsif (bolah-10=c_min) then arahh <= '1'; arahv <= arahv; elsif (bolav-10=r_min) then arahv <= '1'; score2 <= score2+1; elsif (bolav+10=r_max) then arahv <= '0'; score1 <= score1+1; elsif (bolav-10=r_min+10) and ((bolah-10>c_0) and (bolah+10<c_1)) then arahv <= '1'; elsif (bolav+10=r_max-10) and ((bolah-10>c2_0) and (bolah+10<c2_1)) then arahv <= '0'; arahv <= arahv; berubah <= '0'; if (arahh='1') then bolah+1; 1; bolav+1; 1; bolahnext := bolahnext := bolah- if (arahv='1') then bolavnext := bolavnext := bolav- bolav := bolavnext; bolah := bolahnext; if (score1>19) then menangatas<='1'; elsif (score2>19) then menangbawah<='1'; menangatas <= '0'; menangbawah <= '0'; END PROCESS; END behavioral; Setelah diimplementasikan, game ping-pong ini berhasil ditampilkan di layar monitor dan berfungsi dengan baik. Gambar 4-1 Hasil Implementasi Percobaan, ditampilkan di layar monitor Gambar 4-2 Hasil Implementasi Percobaan, ditampilkan di layar monitor Gambar 4-3 Hasil Implementasi Percobaan, ditampilkan di layar monitor Dapat dilihat bahwa implementasi berhasil dilakukan, dengan menampilkan score di bagian kiri layar (dapat dilihat di video). 4.4 KESESUAIAN HASIL DENGAN SPESIFIKASI Pada spesifikasi awal, kami menginginkan adanya: modus 2 pemain, single dan multi-ball mode, serta reset score. Pada akhirnya, yang terealisasikan adalah modus 2 pemain dan single-ball mode, dengan score berbentuk 7segment yang ditampilkan pada layar monitor. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 6

7 Sebelumnya tidak ada mode untuk akhir permainan, tapi akhirnya dibuat, dimana layar yang dipakai sebagai daerah pemain tersebut akan berwarna merah apabila pemain tersebut kalah. 4.5 PEMBAGIAN KERJA Kami berdua bekerja bersama-sama untuk menghasilkan permainan ping-pong sederhana ini, kami berdiskusi dan saling membantu satu sama lain ANGGOTA KELOMPOK Reno Rasyad ( ) : rasyad.reno@gmail.com Yonas Dwiananta ( ): yonasdwiananta@gmail.com 5. KESIMPULAN Permainan ping-pong sederhana ini berhasil dibuat, dengan spesifikasi: modus 2 pemain, single-ball mode, dan menampilkan score yang berbentuk 7segment di layar monitor. Bagian layar pemain yang kalah akan ditutupi oleh warna merah. DAFTAR PUSTAKA [1] Hutabarat, Mervin T. dkk, Praktikum Sistem Digital, hal , Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Bandung, Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 7

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan

BAB V PENGUJIAN DAN ANALISIS. dapat berjalan sesuai perancangan pada bab sebelumnya, selanjutnya akan dilakukan BAB V PENGUJIAN DAN ANALISIS Pada bab ini akan diuraikan tentang proses pengujian sistem yang meliputi pengukuran terhadap parameter-parameter dari setiap komponen per blok maupun secara keseluruhan, dan

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN Pada bab ini akan dijelaskan proses pengujian, hasil, dan analisis dari hasil pengujian. Ada tiga bagian yang diuji, yaitu perangkat keras, perangkat lunak,

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Spesifikasi Sistem Spesifikasi yang ada pada sistem ini terbagi menjadi dua tahapan, yaitu spesifikasi perangkat keras yang digunakan dan spesifikasi perangkat lunak

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

BAB III PERANCANGAN ALAT DAN PROGRAM

BAB III PERANCANGAN ALAT DAN PROGRAM BAB III PERANCANGAN ALAT DAN PROGRAM Dalam bab ini penulis akan membahas prinsip kerja rangkaian yang disusun untuk merealisasikan sistem alat, dalam hal ini mikrokontroler 2560 sebagai IC utama untuk

Lebih terperinci

BAB II DASAR TEORI. mikrokontroler yang berbasis chip ATmega328P. Arduino Uno. memiliki 14 digital pin input / output (atau biasa ditulis I/O,

BAB II DASAR TEORI. mikrokontroler yang berbasis chip ATmega328P. Arduino Uno. memiliki 14 digital pin input / output (atau biasa ditulis I/O, BAB II DASAR TEORI 2.1 Arduino Uno R3 Arduino Uno R3 adalah papan pengembangan mikrokontroler yang berbasis chip ATmega328P. Arduino Uno memiliki 14 digital pin input / output (atau biasa ditulis I/O,

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisa Sistem Dokumentasi merupakan suatu hal yang dibutuhkan manusia pada era globalisasi pada saat ini. Karena pentingnya suatu nilai dokumentasi membuat pengguna

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Rancangan Sistem Rancangan sistem secara keseluruhan dapat dilihat pada gambar 3.1. Keyboard FPGA dan NanoBoard Monitor Gambar 3.1 Diagram Blok Sistem Dari blok diagram diatas

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Permasalahan Dalam Perancangan dan Implementasi Alat Pendeteksi Uang Palsu Beserta Nilainya Berbasis Mikrokontroler ini, terdapat beberapa masalah yang

Lebih terperinci

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah

BAB IV CARA KERJA DAN PERANCANGAN SISTEM. ketiga juri diarea pertandingan menekan keypad pada alat pencatat score, setelah BAB IV CARA KERJA DAN PERANCANGAN SISTEM 4.1 Diagram Blok Sistem Blok diagram dibawah ini menjelaskan bahwa ketika juri dari salah satu bahkan ketiga juri diarea pertandingan menekan keypad pada alat pencatat

Lebih terperinci

3.2. Tempat Penelitian Penelitian dan pengujian alat dilakukan di lokasi permainan game PT. EMI (Elektronik Megaindo) Plaza Medan Fair.

3.2. Tempat Penelitian Penelitian dan pengujian alat dilakukan di lokasi permainan game PT. EMI (Elektronik Megaindo) Plaza Medan Fair. BAB III METODOLOGI PENELITIAN 3.1. Metode Penelitian Dalam penulisan tugas akhir ini metode yang digunakan dalam penelitian adalah : 1. Metode Perancangan Metode yang digunakan untuk membuat rancangan

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Pembahasan dalam Bab ini meliputi pengujian dari setiap bagian kemudian dilakukan pengujian secara keseluruhan. Ada beberapa tahapan pengujian untuk yang harus dilakukan untuk

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. ruangan yang menggunakan led matrix dan sensor PING))). Led matrix berfungsi

BAB 3 PERANCANGAN SISTEM. ruangan yang menggunakan led matrix dan sensor PING))). Led matrix berfungsi BAB 3 PERANCANGAN SISTEM 3.1 Pengertian Umum Perancangan Media Penyampaian Informasi Otomatis Dengan LED Matrix Berbasis Arduino adalah suatu sistem media penyampaian informasi di dalam ruangan yang menggunakan

Lebih terperinci

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C.

BAB II DASAR TEORI. open-source, diturunkan dari Wiring platform, dirancang untuk. software arduino memiliki bahasa pemrograman C. BAB II DASAR TEORI 2.1 ARDUINO Arduino adalah pengendali mikro single-board yang bersifat open-source, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik dalam berbagai bidang.

Lebih terperinci

ELKAHFI 200 TELEMETRY SYSTEM

ELKAHFI 200 TELEMETRY SYSTEM ELKAHFI 200 TELEMETRY SYSTEM User Manual Edisi September 2006 ELKAHFI Design & Embedded System Solution Daftar Isi Pengenalan Elkahfi Telemetry System Pendahuluan 1 Kelengkapan Telemetry System 2 Spesifikasi

Lebih terperinci

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER 1 Dadan Nurdin Bagenda, 2 Wahyudin 1 Program Studi Teknik Informatika STMIK LPKIA 2 Program Studi Teknik Informatika STMIK

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

Tabel Isi. Perhatian...2. Isi Paket...2. Petunjuk Pemasangan...3. Memasang Monitor...3. Melepas Monitor...3. Pengaturan Sudut Pandang...

Tabel Isi. Perhatian...2. Isi Paket...2. Petunjuk Pemasangan...3. Memasang Monitor...3. Melepas Monitor...3. Pengaturan Sudut Pandang... Tabel Isi Perhatian...2 Isi Paket...2 Petunjuk Pemasangan...3 Memasang Monitor...3 Melepas Monitor...3 Pengaturan Sudut Pandang...3 Cara Menghubungkan Alat-alat...3 Cara Menyalakan...4 Pengaturan Layar...5

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

BAB III MIKROKONTROLER

BAB III MIKROKONTROLER BAB III MIKROKONTROLER Mikrokontroler merupakan sebuah sistem yang seluruh atau sebagian besar elemennya dikemas dalam satu chip IC, sehingga sering disebut single chip microcomputer. Mikrokontroler merupakan

Lebih terperinci

BAB III METODE PENELITIAN. oleh karenanya akan dibuat seperti pada Gambar 3.1.

BAB III METODE PENELITIAN. oleh karenanya akan dibuat seperti pada Gambar 3.1. BAB III METODE PENELITIAN 3.1 Model Penelitian Agar mendapatkan hasil yang diinginkan maka diperlukan suatu rancangan agar dapat mempermudah dalam memahami sistem yang akan dibuat, oleh karenanya akan

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI

BAB III PERANCANGAN DAN REALISASI BAB III PERANCANGAN DAN REALISASI 3.1 Perancangan Pengatur Scoring Digital Wireless Futsal Berbasis Mikrokontroller AVR ATMEGA8. Perancangan rangkaian pengatur scoring digital untuk mengendalikan score,

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisis Memainkan game dikomputer sangat menyenangkan, namun akan lebih menyenangkan bila kita dapat memainkannya secara bersamaan dengan dua komputer

Lebih terperinci

Written by Mada Jimmy Monday, 24 August :40 - Last Updated Thursday, 18 November :51

Written by Mada Jimmy Monday, 24 August :40 - Last Updated Thursday, 18 November :51 Perkembangan industri saat ini menuntut pemrosesan pada sistem kontrol yang semakin dinamis dalam setiap tahapan perancangan, pengoperasian, maupun perawatan. Peralatan yang kompak, fleksibel namun handal

Lebih terperinci

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs.

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs. BABIV ANALISA DAN PEMBAHASAN Pada bab ini akan dibahas mengenai keseluruhan pengujian sistem. Materi pengujian sistem meliputi dua bagian yakni dengan simulasi dan pengujian pada monitor. Simulasi dilakukan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dibahas mengenai perancangan dan realisasi dari perangkat keras maupun perangkat lunak dari setiap modul yang dipakai pada skripsi ini. 3.1. Perancangan dan

Lebih terperinci

BAB I PENDAHULUAN 1.2. Latar Belakang Masalah

BAB I PENDAHULUAN 1.2. Latar Belakang Masalah BAB I PENDAHULUAN Pada bab ini dijelaskan tujuan, latar belakang masalah, gambaran sistem, batasan masalah, perincian tugas yang dikerjakan dan garis besar penulisan skripsi. 1.1. Tujuan Merancang dan

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN ALAT

BAB III ANALISA DAN PERANCANGAN ALAT BAB III ANALISA DAN PERANCANGAN ALAT III.1. Analisa Permasalahan Perancangan Alat Ukur Kadar Alkohol Pada Minuman Tradisional Dalam melakukan pengujian kadar alkohol pada minuman BPOM tidak bisa mengetahui

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

SEM. 4 KOMUNIKASI DATA

SEM. 4 KOMUNIKASI DATA No. PSM/DEL/02 Revisi : 02 Tgl : 4 Maret 2008 Hal 1 dari 10 I. Pokok Bahasan Transmisi Data Paralel II. Judul Kendali Nyala 8 Led dengan Port Printer LPT1 IV. Tujuan Setelah selesai praktek diharapkan

Lebih terperinci

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY I. Tujuan 1. Mengenal interface Paralel (Parallel Board). 2. Mengenal Visual Basic untuk mengakses parallel port (data, control dan

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Masalah Dalam perancangan argo becak motor berbasis arduino dan GPS ini, terdapat beberapa masalah yang harus dipecahkan. Permasalahan-permasalahan tersebut

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Sistem berikut: Secara umum sistem yang dibangun dijelaskan dalam diagram blok sistem 6 1 Baterai Sensor: - GPS 2 Sensor Suhu dan Kelembapan 4 Mikrokontroler

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

BAB III DESKRIPSI MASALAH

BAB III DESKRIPSI MASALAH BAB III DESKRIPSI MASALAH 3.1 Perancangan Hardware Perancangan hardware ini meliputi keseluruhan perancangan, artinya dari masukan sampai keluaran dengan menghasilkan energi panas. Dibawah ini adalah diagram

Lebih terperinci

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin BAB III PERANCANGAN ALAT 3.1 Perancangan Dalam pembuatan suatu alat diperlikan adanya sebuah rancangan yang menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin timbul dapat ditekan

Lebih terperinci

BAB III METODE PENELITIAN. baik pada perangkat keras maupun pada komputer. Buffer. Latch

BAB III METODE PENELITIAN. baik pada perangkat keras maupun pada komputer. Buffer. Latch BAB III METODE PENELITIAN Metode penelitian yang digunakan dalam perancangan perangkat keras adalah studi kepustakaan berupa data-data literatur dari masing-masing komponen, informasi dari internet dan

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1. Identifikasi Kebutuhan Proses pembuatan alat penghitung benih ikan ini diperlukan identifikasi kebutuhan terhadap sistem yang akan dibuat, diantaranya: 1. Perlunya rangkaian

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA BAB IV PENGUJIAN DAN ANALISA 4.1 Tujuan Pengujian Prototype Setelah kita melakukan perancangan alat, kita memasuki tahap yang selanjutnya yaitu pengujian dan analisa. Tahap pengujian alat merupakan bagian

Lebih terperinci

FACULTY OF ENGINEERING YOGYAKARTA STATE UNIVERSITY

FACULTY OF ENGINEERING YOGYAKARTA STATE UNIVERSITY No. SIL/EKO/EKK 236/02 Rev. : 02 Tanggal : 1 Agustus 2012 Hal 1 dari 5 NAMA MATA KULIAH : PRAKTIK TEKNIK ANTARMUKA KODE MATA KULIAH : EKK 236 PROGRAM STUDI : PENDIDIKAN TEKNIK ELEKTRO S1 PENDIDIKAN TEKNIK

Lebih terperinci

BAB II ANALISIS DAN PERANCANGAN. Arduino adalah pengendali mikro single-board yang bersifat opensource,

BAB II ANALISIS DAN PERANCANGAN. Arduino adalah pengendali mikro single-board yang bersifat opensource, BAB II ANALISIS DAN PERANCANGAN 2.1 Arduino Uno R3 Arduino adalah pengendali mikro single-board yang bersifat opensource, diturunkan dari Wiring platform, dirancang untuk memudahkan penggunaan elektronik

Lebih terperinci

BAB I PENDAHULUAN 1.2. Latar Belakang Masalah

BAB I PENDAHULUAN 1.2. Latar Belakang Masalah BAB I PENDAHULUAN Bab ini membahas bagian pendahuluan dari sistem yang dirancang dan direalisasikan. Pada bagian pendahuluan akan dijelaskan mengenai tujuan dari perancangan alat, hal-hal yang menjadi

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan membahas mengenai perancangan alat yang dibuat berdasarkan pemikiran dan mengacu pada sumber yang berhubungan dengan alat, seperti pengkabelan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dijelaskan mengenai perancangan dari perangkat keras, serta perangkat lunak dari alat akuisisi data termokopel 8 kanal. 3.1. Gambaran Sistem Alat yang direalisasikan

Lebih terperinci

BAB IV PENGUJIAN DAN PEMBAHASAN

BAB IV PENGUJIAN DAN PEMBAHASAN BAB IV PENGUJIAN DAN PEMBAHASAN Pada bab ini memuat hasil pengamatan dan analisis untuk mengetahui kinerja dari rangkaian. Dari rangkaian tersebut kemudian dilakukan analisis - analisis untuk mengetahui

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 21 BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK 3.1 Gambaran umum Perancangan sistem pada Odometer digital terbagi dua yaitu perancangan perangkat keras (hardware) dan perangkat lunak (software). Perancangan

Lebih terperinci

BAB III METODE DAN PERANCANGAN

BAB III METODE DAN PERANCANGAN BAB III METODE DAN PERANCANGAN 1.1 Metode Metode yang digunakan dalam pembuatan modul ini adalah modifikasi rancang bangun yang dilakukan dengan eksperimen. Hasil dari penyusunan tugas akhir ini berupa

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Dalam bidang teknologi, orientasi produk teknologi yang dapat dimanfaatkan untuk kehidupan manusia adalah produk yang berkualitas, hemat energi, menarik, harga murah, bobot ringan,

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM 29 BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Sistem Blok diagram sistem absensi ini dapat dilihat pada gambar 3.1 di bawah ini. Gambar 3.1. Diagram Blok Sistem Fungsi fungsi dari blok diatas adalah sebagai

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA 54 BAB IV PENGUJIAN DAN ANALISA Dalam bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Pengujian ini dilakukan untuk mengetahui kinerja dari sistem mulai dari blok-blok

Lebih terperinci

KONSEP DASAR HARDWARE KOMPUTER

KONSEP DASAR HARDWARE KOMPUTER 1 KONSEP DASAR HARDWARE KOMPUTER 1.1. Arsitektur Komputer Tidak ada suatu ketentuan khusus tentang bagaimana seharusnya struktur sistem sebuah komputer. Setiap ahli dan desainer arsitektur komputer memiliki

Lebih terperinci

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano

Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano Pengkodean Kanal Reed Solomon Berbasis FPGA Untuk Transmisi Citra Pada Satelit Nano A-51 Ainun Jariyah, Suwadi, dan Gamantyo Hendrantoro Jurusan Teknik Elektro, Fakultas Teknologi Industri, Institut Teknologi

Lebih terperinci

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R BAB 4 RANGKAIAN LOGIKA IGITAL SEKUENSIAL Telah kita pelajari tentang unit logika kombinasional yang keluarannya hanya tergantung pada masukan saat itu atau dengan kata lain keluarannya merupakan fungsi

Lebih terperinci

BAB III FUNGSI BAGIAN PLC. Processor. Catu Daya. Gambar 2. Block Diagram Perangkat Keras PLC

BAB III FUNGSI BAGIAN PLC. Processor. Catu Daya. Gambar 2. Block Diagram Perangkat Keras PLC BAB III FUNGSI BAGIAN PLC Programming Devices Processor Modul Input Modul Output Catu Daya Gambar 2. Block Diagram Perangkat Keras PLC Dari gambar diatas, bagian bagian tersebut mempunyai fungsi yang saling

Lebih terperinci

BAB III ANALISIS DAN DESAIN SISTEM

BAB III ANALISIS DAN DESAIN SISTEM BAB III ANALISIS DAN DESAIN SISTEM III.1. Analisis Masalah Pesan terkadang mengandung sebuah informasi yang sangat penting yang harus dijaga kerahasiaannya. Ada beberapa cara yang dapat digunakan untuk

Lebih terperinci

PANDUAN PRAKTIKUM DASAR ARDUINO

PANDUAN PRAKTIKUM DASAR ARDUINO BBROBOTINDONESIA PANDUAN PRAKTIKUM DASAR ARDUINO www.belajarbikinrobot.weebly.com Praktikum 3 Belajar Arduino analogread() dan Komunikasi Serial Setelah sebelumnya kita belajar tentang penggunaan pin digital

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Definisi Perancangan Perancangan adalah proses menuangkan ide dan gagasan berdasarkan teoriteori dasar yang mendukung. Proses perancangan dapat dilakukan dengan cara pemilihan

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN. Perancangan game mencocokkan gambar ini dibuat agar dapat berjalan

BAB III ANALISIS DAN PERANCANGAN. Perancangan game mencocokkan gambar ini dibuat agar dapat berjalan BAB III ANALISIS DAN PERANCANGAN III.1. Analisa Sistem Perancangan game mencocokkan gambar ini dibuat agar dapat berjalan pada sistem yang beroperasi pada perangkat komputer, game yang dikembangkan adalah

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisa Sistem Dalam tahap ini penulis menganalisa kebutuhan sistem, permasalahan yang menjadi faktor analisa sehingga jika diketahui permasalahan yang ada dalam pembuatan

Lebih terperinci

Bab III Perangkat Pengujian

Bab III Perangkat Pengujian Bab III Perangkat Pengujian Persoalan utama dalam tugas akhir ini adalah bagaimana mengimplementasikan metode pengukuran jarak menggunakan pengolahan citra tunggal dengan bantuan laser pointer dalam suatu

Lebih terperinci

PANDUAN PRAKTIKUM DASAR ARDUINO

PANDUAN PRAKTIKUM DASAR ARDUINO BBROBOTINDONESIA PANDUAN PRAKTIKUM DASAR ARDUINO www.belajarbikinrobot.weebly.com Praktikum 12 Belajar Arduino Menggunakan Input Digital (Button) Untuk Mengontrol Output Digital (LED) Pada praktikum kita

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Pengertian Umum Sistem yang dirancang adalah sistem yang berbasiskan mikrokontroller dengan menggunakan smart card yang diaplikasikan pada Stasiun Kereta Api sebagai tanda

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisis Sistem Animasi ini dirancang sebagai bahan pengenalan (introduction), dimana pengenalan menggunakan animasi ini diharapkan dapat memberikan kesan menarik dan

Lebih terperinci