Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Ukuran: px
Mulai penontonan dengan halaman:

Download "Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL"

Transkripsi

1 Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika, Fakultas MIPA Universitas Gadjah Mada - Yogyakarta agfi@ugm.ac.id, @yahoo.com Abstrak - Telah diimplementasikan sebuah rangkaian digital untuk pengaturan dan pemantauan kecepatan putar motor DC ke dalam FPGA Altera EPF1K1 menggunakan VHSIC Hardware Description Language (VHDL). Sistem terdiri dari rangkaian penggerak dan rangkaian pembaca kecepatan putar motor DC. Sistem ini dapat menggerakkan motor DC dengan 1 variasi kecepatan dan besarnya kecepatan putar tersebut ditampilkan melalui 7-Segmen. FPGA menghasilkan luaran Pulse Width ation (PWM) untuk menggerakkan motor DC. Besarnya kecepatan putar motor DC dibaca oleh sensor optokopler kemudian diteruskan ke FPGA untuk ditampilkan nilainya melalui 7-segmen dalam satuan Rotasi per Detik (). Hasil pengujian luaran PWM pada FPGA menggunakan metode regresi linier, diperoleh ralat sebesar,4. Hasil pengujian kecepatan putar motor DC untuk arah putaran searah jarum jam yaitu dengan ralat sebesar,7. Sedangkan untuk yang berlawanan jarum jam diperoleh ralat sebesar,75. Jumlah LE dalam FPGA yang digunakan adalah 262 atau 45% dari kapasitas total LE yang dimiki FPGA Altera EPF1K1. Kata kunci: FPGA, VHDL, PWM, Motor DC 1. PENDAHULUAN Kendali PWM adalah suatu teknik pengendalian rangkaian analog dengan suatu keluaran pengolah digital [1]. Keluaran pengolah digital ini berupa pulsa dengan periode tertentu, dimana lebar pulsa high-nya divariasikan. PWM ini biasanya digunakan dalam pengiriman data digital, regulasi tegangan, efek suara, dan penguatan. Motor DC bisa diatur kecepatan putarnya melalui Pulse Width ation atau PWM, dengan memanfaatkan chip FPGA sebagai inti rangkaian pengendalinya. Besarnya kecepatan putar motor DC (Rotasi per Detik atau ) dibaca oleh sensor dan ditampilkan melalui 7-Segmen juga menggunakan FPGA. Penggunaan FPGA ini diharapkan dapat menjadi sebuah alternatif terobosan baru dalam mengatur kecepatan putar motor DC selain menggunakan mikrokontroler, mikroprosesor maupun chip lainnya. 2. RANCANGAN SISTEM DIGITAL Sistem pengontrolan kecepatan motor DC ini menggunakan luaran PWM yang dihasilkan melalui rangkaian digital yang diimplementasikan ke dalam FPGA Altera EPF1K1 dengan 1 macam variasi PWM (sekaligus 1 macam kecepatan putar). Sebagaimana ditunjukkan pada Gambar 1, digunakan dua buah tombol (SW1 dan SW2) yang masingmasing digunakan untuk melebarkan pulsa high PWM dan menyempitkan lebar pulsa high PWM. Dengan melebarnya pulsa high PWM maka motor DC berputar makin cepat, sebaliknya dengan menyempitnya pulsa high PWM maka motor berputar makin lambat. Saklar geser atau dipswitch digunakan untuk mengatur arah perputaran motor DC yaitu searah atau berlawanan jarum jam. Luaran FPGA yang berupa sinyal PWM dihubungkan dengan rangkaian penggerak motor DC. Selanjutnya, kecepatan putar motor DC dibaca oleh sensor rotary plate yang luarannya berupa pulsa yang kemudian diumpankan ke FPGA untuk proses pembacaan, hasilnya ditampilkan dalam ratusan (tiga digit) melalui 7-segmen Pembagi Frekuensi ini digunakan untuk membagi frekuensi yang dihasilkan oleh osilator agar sesuai dengan kebutuhan detak modul rangkaian yang lain. Dalam hal ini osilator detak pada papan perancangan memiliki frekuensi sebesar 9,6 MHz. Berikut kode VHDL-nya: ENTITY Pembagi_Frekuensi IS PORT ( Clk : in std_logic; Clock1 : out std_logic; Clock2 : out std_logic); END Pembagi_Frekuensi; ARCHITECTURE Behavioral OF Pembagi_Frekuensi IS SIGNAL Counter : std_logic_vector (23 downto ); PROCESS (Clk) if (Clk'event and Clk='1') then Counter <= Counter + 1;

2 Clock1 <= Counter(9); Clock2 <= Counter(15); 2.2. Debouncer ini digunakan untuk mengurangi efek bouncing yang biasa terjadi jika pushbutton pada papan pengembangan wizard FLEX-A1 ditekan. Berikut kode VHDL-nya: ENTITY Debouncer IS PORT( Input1, Input2 : in std_logic; Output1, Output2 : out std_logic); END Debouncer; ARCHITECTURE structural OF Debouncer IS COMPONENT D_flipflop IS PORT( D, Clock: in std_logic; Q: out std_logic); END COMPONENT; SIGNAL SQ1, SQ2: std_logic; SIGNAL Sin, Sout, Sout2: std_logic; Sin<=not(Input1); A: D_flipflop port map(sin, Input2, SQ1); A1: D_flipflop port map(sq1, Input2, SQ2); Sout<=(not(SQ2) and SQ1); Sout2<=(not(Sout)); Output1<=Sout; Output2<=Sout2; END structural; 2.3. Pencacah Naik Turun ini digunakan sebagai pencacah naik dan turun, mulai dari 1 sampai dengan 1, yang kemudian diumpankan luarannya ke modul penghasil PWM Penghasil PWM Pengaturan kecepatan putaran dilakukan dengan menggunakan menggunakan Pulse Width ation atau biasa disingkat sebagai PWM. Teknik PWM adalah cara pengalihan daya menggunakan sistem lebar pulsa untuk mengemudikan kecepatan motor. Pengaturan lebar pulsa ini menentukan kecepatan putar motor. Hubungan antara masukan terhadap luaran PWM ditunjukkan pada Tabel 1. Berikut kode VHDL untuk modul yang menghasilkan sinyal PWM: ENTITY Penghasil_PWM IS PORT( Clock: in std_logic; Input: in std_logic_vector (3 downto ); PWM : out std_logic); END Penghasil_PWM; ARCHITECTURE Behavioral OF Penghasil_PWM IS SIGNAL counter : integer; SIGNAL penentu_putaran : integer; SIGNAL PWMi : std_logic; SIGNAL faktor : integer; PROCESS(Clock) faktor <= conv_integer (input); penentu_putaran <= 14 + (8 * faktor); if (Clock'event and Clock='1') then if (counter = 94) then counter <= ; else counter <= counter + 1; if rising_edge(clock) then if (counter <= penentu_putaran ) then PWMi <= '1'; else PWMi <= ''; PWM <= PWMi; Tabel 1. Hubungan Masukan dan Luaran PWM Masukan (integer) Nilai Pembagi Frekuensi Lebar Pulsa yang Diinginkan (milidetik) 14 1, , , , , , , , , , , Penentu Arah ini digunakan menentukan arah berputarnya motor DC apakah searah jarum jam atau berlawanan. Berikut kode VHDL-nya: ENTITY Penentu_arah IS PORT( InputPWM : in std_logic; Output1 : out std_logic; Output2 : out std_logic; InputArah : in std_logic); END Penentu_arah; ARCHITECTURE Behavioral OF Penentu_arah IS PROCESS (InputArah, InputPWM) if InputArah='1' then

3 output1 <= inputpwm; output2 <= ''; else output1 <= ''; output2 <= inputpwm; 2.6. Detak Reset ini untuk menghasilkan luaran detak (clock) sebesar ±1 Hz. Luaran detak ini akan dihubungkan dengan masukan pada modul pencacah Pencacah ini digunakan untuk menghitung banyaknya rotasi perputaran motor tiap detik. Berikut kode VHDL-nya: ENTITY Pencacah_ IS PORT( Clock : in std_logic; Reset : in std_logic; Q : out std_logic_vector (7 downto )); END Pencacah_; ARCHITECTURE Behavioral OF Pencacah_ IS SIGNAL qi : std_logic_vector (7 downto ); PROCESS (clock, reset) if (reset='1') then qi <= ""; else if (clock'event and clock='1') then qi <= qi + 1; if (reset'event and reset='1') then q <= qi; 2.8. Konversi Biner BCD ini merupakan modul pengkonversian bilangan dalam format biner ke Binary Coded Decimal (BCD) untuk keperluan tampilan 3x7-segmen. Berikut VHDL-nya: ENTITY Konversi_Bin_BCD IS PORT( Biner: in STD_LOGIC_VECTOR (7 downto ); BCD: out STD_LOGIC_VECTOR (15 downto )); END Konversi_Bin_BCD; ARCHITECTURE Behavioral OF Konversi_Bin_BCD IS BCD1 : PROCESS(Biner) VARIABLE z: STD_LOGIC_VECTOR (17 downto ); for i in to 17 loop z(i) := ''; end loop; z(1 downto 3) := Biner; for i in to 4 loop if z(11 downto 8) > 4 then z(11 downto 8) := z(11 downto 8)+3; if z(15 downto 12) > 4 then z(15 downto 12) := z(15 downto 12)+3; z(17 downto 1) := z(16 downto ); end loop; BCD <= z(17 downto 8); END PROCESS BCD1; 2.9. Pencacah Pemilih ini digunakan sebagai pemilih dalam menentukan data dan 7-Segmen mana yang digunakan untuk menampilkan data tersebut Multiplekser Tampilan ini merupakan modul multiplekser atau dapat dikatakan sebagai pemilih dari 3 data yang akan ditampilkan melalui 7-Segmen. Data tersebut berupa sebuah bilangan biner 16 bit dimana 12 bit terendah merepresentasikan 3 data yang dimaksud Multiplekser 7-Segment ini diperlukan karena karakteristik tampilan 3x7-Segmen yang digunakan merupakan rangkaian multipleks. Walaupun agak rumit mengoperasikannya namun rangkaiannya menjadi lebih sederhana Enkoder 7-Segmen ini digunakan untuk mengkonversikan kode dalam bentuk BCD ke led-led yang sesuai dalam 7- segmen. 3. RANCANGAN SISTEM ANALOG 3.1. Rangkaian Penggerak Motor DC Rangkaian ini berfungsi sebagai penggerak (driver) berputarnya motor DC dan pengkondisi sinyal luaran optokopler menjadi gelombang kotak sempurna. Rangkaian ini terdiri dari rangkaian catudaya, penggerak motor DC dan Pemicu Schmitt, sebagaimana ditunjukkan pada Gambar Rangkaian Optokopler Optokopler adalah bagian penting dari sensor kecepatan putar motor DC. Rotary plate yang digunakan yaitu sebuah piringan dengan satu buah lobang dipinggirnya. Optokopler sebagai penghasil pulsa yang nantinya dikirim ke FPGA, sebagaimana ditunjukkan pada Gambar 3.

4 Grafik Pengujian PWM Pada Osiloskop mili detik Nilai Pembagi frekuensi Lebar Pulsa High Diinginkan Lebar Pulsa High Dihasilkan Gambar 3. Rangkaian optokopler 4. HASIL SINTESIS DAN PEMBAHASAN Hasil penggunaan sumber daya Logic Element (LE) dan flip-flop per-modul dan sistem lengkap yang diimplementasikan ke dalam FPGA Altera EPF1K1 ditunjukkan pada Tabel 2. Tabel 2. Rangkuman penggunaan LE dan Flip-flop No LE/Flip-flop 1 Pembagi Frekuensi 26 / 2 2 Debouncer 4 / 2 3 Pencacah Naik Turun 14 / 4 4 Penghasil PWM 69 / 33 5 Penentu Arah 2 / 6 Detak Reset 63 / 33 7 Pencacah 18 / 16 8 Pencacah Pemilih 6 / 6 9 Konversi Biner BCD 33 / 1 Multiplekser Tampilan 18 / 11 Multiplekser 7-Segment 4 / 12 Enkoder 7-Segment 7 / 13 gabung 262 / 116 Penggunaan LE dan flip-flop pada perancangan ini cukup banyak. Hal ini disebabkan oleh banyaknya modul yang digunakan dan pembuatan deskripsi VHDL masing-masing modul yang belum teroptimasi, fokus pada fungsinya saja. 5. PENGUJIAN ALAT DAN RANGKAIAN 5.1. Pengujian Luaran PWM Luaran PWM yang dihasilkan oleh FPGA diuji dengan menggunakan osiloskop. Grafik hasil pengujiannya ditunjukkan pada Gambar 4. Berdasarkan data grafik yang ditunjukkan pada Gambar 4, besarnya lebar pulsa high PWM yang diinginkan dengan yang dihasilkan saling mendekati. Menggunakan metode regresi linier, persamaan yang diperoleh yaitu,99x +,8 dengan ralat sebesar,4. Ralat dihasilkan akibat tundaan rambatan yang terjadi dalam FPGA. Gambar 4. Grafik pengujian PWM pada osiloskop 5.2. Pengujian Kecepatan Putar Motor DC Hasil pengujian pada 7-Segmen (luaran sistem) ditunjukkan pada Gambar 5 dan 6, masing-masing untuk searah dan berlawanan arah jarum. Grafik Perhitungan dan Pembacaan Kecepatan Putar Motor DC Lebar Pulsa High PWM (ms) Hasil Perhitungan Hasil Pembacaan 7-Segment Gambar 5. Grafik hasil perhitungan dan hasil sistem motor searah jarum jam. Berdasarkan grafik pada Gambar 5 dan 6, besarnya hasil perhitungan dan tampilan 7-Segmen saling mendekati. Persamaan yang diperoleh pada pengujian searah jarum jam yang ditunjukkan pada Gambar 5, yaitu 1,3x -,71 dengan ralat sebesar ±,7. Sedangkan untuk yang berlawanan jarum jam, sebagaimana ditunjukkan pada Gambar 6, diperoleh persamaan 1,2x +,4 dengan ralat sebesar ±,75. Ralat dihasilkan akibat tundaan rambatan atau propagation delay yang terjadi pada FPGA. Terjadinya glitch pada modul-modul pendukung juga cukup berpengaruh terhadap luaran PWM-nya. Kecepatan putar motor DC searah jarum jam dan berlawanan jarum jam terdapat sedikit perbedaan. Hal ini disebabkan oleh beban yang dipasang pada shaft motor DC yaitu berupa kipas. Kipas ini ketika berputar menghasilkan gaya sentripetal yang berbeda untuk perputaran searah dan berlawanan jarum jam. Sehingga dengan semakin cepat motor berputar maka gaya sentripetal yang dihasilkan makin besar dan gaya sentrifugal-nya mengecil.

5 Grafik Perhitungan dan Pembacaan Kecepatan Putar Motor DC Lebar Pulsa High PWM (ms) Hasil Perhitungan Hasil Pembacaan 7-Segment Gambar 6. Grafik hasil perhitungan dan hasil sistem motor berlawanan arah jarum jam Pengujian masukan PWM dengan Kecepatan putar Motor DC Pada Gambar 7 dan 8 ditunjukkan grafik perbandingan antara hasil perhitungan dan yang tampil pada 7- segmen untuk beberapa masukan nilai PWM ke motor DC melalui rangkaian penggerak menggunakan IC L293D. PWM yang diberikan pada IC L293D ini yaitu 1 khz. Sedangkan frekuensi maksimum dari L293D dalam mengolah aplikasi PWM yaitu 5 khz [2]. Dengan begitu cepatnya masukan PWM berosilasi, maka ruang tundaan propagasi pada IC L293D menjadi sempit. Hal ini menyebabkan tidak sempurnanya luaran PWM IC L93D yang diumpankan ke motor DC, sehingga motor tidak berputar pada kecepatan yang diinginkan. Oleh karena itu, IC L293D ini dapat bekerja baik untuk aplikasi PWM apabila frekuensi masukannya kurang dari 5 khz. Sistem pengaturan dan pemantauan kecepatan putar motor DC berbasis FPGA ditunjukkan pada Gambar 9. Grafik Hasil Perhitungan dan Pada 7- Segment Duty Cycle (%) Hasil Perhitungan Pada 7-Segment Gambar 7. Grafik perbandingan hasil perhitungan dan sistem untuk motor dengan gerak searah jarum jam Grafik Hasil Perhitungan dan Pada 7- Segment Duty Cycle (%) Hasil Perhitungan Pada 7-Segment Gambar 9. Sistem pengontrolan kecepatan Motor DC berbasis FPGA 6. KESIMPULAN Hasil pengujian keluaran PWM pada FPGA, dengan menggunakan metode regresi linier, diperoleh persamaan yaitu,99x +,8 dengan ralat sebesar ±,4. Hasil pengujian kecepatan putar motor DC, dengan menggunakan metode regresi linier, persamaan yang diperoleh pada pembacaan searah jarum jam (DS = OFF ) yaitu 1,3x -,71 dengan ralat sebesar ±,7. Sedangkan untuk yang berlawanan jarum jam (DS = ON ) diperoleh persamaan 1,2x +,4 dengan ralat sebesar ±,75. Jumlah LE dalam FPGA yang digunakan adalah 262 atau 45% dari kapasitas total LE yang dimiki FPGA Altera EPF1K1. Gambar 8. Grafik perbandingan hasil perhitungan dan sistem untuk motor dengan gerak berlawanan arah jarum jam. Berdasarkan grafik pada Gambar 7 dan 8, besarnya nilai hasil perhitungan dan sistem menunjukkan perbedaan yang cukup signifikan. Hal ini disebabkan karena ketidakmampuan IC penggerak motor DC L293D dalam mengolah masukan PWM. Frekuensi DAFTAR PUSTAKA [1] Barr, M., 21, Introduction to Pulse Width ation (PWM), diakses November [2] SGS Thomson Microelectronics, 1996, L293D L293DD Push-pull Four Channel Driver with Diodes, SGS Thomson Microelecronics 1

6 Cepat Pushbutton SW1 Lambat Debouncer Pencacah Naik Turun Penghasil PWM Penentu Arah Rangkaian Pengendali Motor Pushbutton SW2 Multiplekser 7-Segment Detak (Untuk Seluruh Blok) ) Crystal FPGA Pembagi Frekuensi Detak Reset Pencacah Pemilih Multiplekser Tampilan 7- Segment Detak Kecepatan Optocoupler Pencacah Konversi Biner BCD Enkoder 7-Segment Gambar 1. Diagram blok Rangkaian Pengatur Kecepatan Motor DC berbasis FPGA Gambar 2. Rangkaian penggerak Motor DC

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Pendahuluan Bab ini akan membahas pembuatan seluruh perangkat yang ada pada Tugas Akhir tersebut. Secara garis besar dibagi atas dua bagian perangkat yaitu: 1.

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai proses perancangan mekanik pembersih lantai otomatis serta penyusunan rangkaian untuk merealisasikan sistem alat. Dalam hal ini

Lebih terperinci

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang BAB I PENDAHULUAN 1.1. Latar Belakang Masalah PLC (Programmable Logic Control) merupakan suatu peralatan yang digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang biasanya digunakan

Lebih terperinci

ROBOT OMNI DIRECTIONAL STEERING BERBASIS MIKROKONTROLER. Muchamad Nur Hudi. Dyah Lestari

ROBOT OMNI DIRECTIONAL STEERING BERBASIS MIKROKONTROLER. Muchamad Nur Hudi. Dyah Lestari Nur Hudi, Lestari; Robot Omni Directional Steering Berbasis Mikrokontroler ROBOT OMNI DIRECTIONAL STEERING BERBASIS MIKROKONTROLER Muchamad Nur Hudi. Dyah Lestari Abstrak: Robot Omni merupakan seperangkat

Lebih terperinci

Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013)

Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013) Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013) Denny Satrio N, Ir. M.Julius St., MS., Mochammad Rif an, ST., MT. 1 Abstrak

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras

BAB 3 PERANCANGAN SISTEM. Computer. Parallel Port ICSP. Microcontroller. Motor Driver Encoder. DC Motor. Gambar 3.1: Blok Diagram Perangkat Keras BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Perangkat Keras Sistem perangkat keras yang digunakan dalam penelitian ini ditunjukkan oleh blok diagram berikut: Computer Parallel Port Serial Port ICSP Level

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu

Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Pemodelan Sistem Kontrol Motor DC dengan Temperatur Udara sebagai Pemicu Brilliant Adhi Prabowo Pusat Penelitian Informatika, LIPI brilliant@informatika.lipi.go.id Abstrak Motor dc lebih sering digunakan

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Tujuan dari pengujian alat pada tugas akhir ini adalah untuk mengetahui sejauh mana kinerja sistem yang telah dibuat dan untuk mengetahui penyebabpenyebab ketidaksempurnaan

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

Bab IV Pengujian dan Analisis

Bab IV Pengujian dan Analisis Bab IV Pengujian dan Analisis Setelah proses perancangan, dilakukan pengujian dan analisis untuk mengukur tingkat keberhasilan perancangan yang telah dilakukan. Pengujian dilakukan permodul, setelah modul-modul

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Setelah tahap perancangan hingga terciptanya sebuah alat maka tahap selanjutnya adalah pengukuran dan pengujian. Langkah ini ditempuh agar dapat diketahui karakteristik

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA

BAB IV PENGUJIAN DAN ANALISA 54 BAB IV PENGUJIAN DAN ANALISA Dalam bab ini akan dibahas tentang pengujian berdasarkan perencanaan dari sistem yang dibuat. Pengujian ini dilakukan untuk mengetahui kinerja dari sistem mulai dari blok-blok

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Definisi PWM Sinyal PWM pada umumnya memiliki amplitudo dan frekuensi dasar yang tetap, namun, lebar pulsanya bervariasi. Lebar pulsa PWM berbanding lurus dengan amplitudo sinyal

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISA

BAB IV PENGUJIAN ALAT DAN ANALISA BAB IV PENGUJIAN ALAT DAN ANALISA 4.1 Tujuan Tujuan dari pengujian alat pada tugas akhir ini adalah untuk mengetahui sejauh mana kinerja sistem yang telah dibuat dan untuk mengetahui penyebabpenyebab ketidaksempurnaan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1. Perangkat Keras Sistem Perangkat Keras Sistem terdiri dari 5 modul, yaitu Modul Sumber, Modul Mikrokontroler, Modul Pemanas, Modul Sensor Suhu, dan Modul Pilihan Menu. 3.1.1.

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011

III. METODE PENELITIAN. Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian dan perancangan tugas akhir dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung dilaksanakan mulai bulan Desember 2011 sampai dengan

Lebih terperinci

BAB IV PROTOTYPE ROBOT TANGGA BERODA. beroda yang dapat menaiki tangga dengan metode pengangkatan beban pada roda

BAB IV PROTOTYPE ROBOT TANGGA BERODA. beroda yang dapat menaiki tangga dengan metode pengangkatan beban pada roda BAB IV PROTOTYPE ROBOT TANGGA BERODA 4.1 Desain Sistem Sistem yang dibangun pada tugas akhir ini bertujuan untuk membangun robot beroda yang dapat menaiki tangga dengan metode pengangkatan beban pada roda

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus III. METODE PENELITIAN A. Tempat dan Waktu Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Agustus 2009, dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium Sistem

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Perancangan merupakan proses yang kita lakukan terhadap alat, mulai dari rancangan kerja rangkaian hingga hasil jadi yang akan difungsikan. Perancangan dan pembuatan alat merupakan

Lebih terperinci

PERTEMUAN 12 PENCACAH

PERTEMUAN 12 PENCACAH PERTEMUAN 12 PENCACAH Sasaran Pertemuan 12 Mahasiswa diharapkan mengerti tentang Pencacah yang terdiri dari : - Riple Counter - Pencacah Sinkron - Pencacah Lingkar - Pencacah Turun naik - Pencacah Mod

Lebih terperinci

NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION

NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION NASKAH PUBLIKASI KARYA ILMIAH PEMASANGAN MOTOR DC PADA SEKUTER DENGAN PENGENDALI PULSE WIDTH MODULATION Diajukan Sebagai Salah Satu Syarat Menyelesaikan Program Studi S-1 Jurusan Teknik Elektro Fakultas

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Bab ini menguraikan perancangan mekanik, perangkat elektronik dan perangkat lunak untuk membangun Pematrian komponen SMD dengan menggunakan conveyor untuk indutri kecil dengan

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PEANCANGAN DAN PEMBUATAN ALAT 3.1. Pendahuluan Dalam Bab ini akan dibahas pembuatan seluruh sistem perangkat yang ada pada Perancangan Dan Pembuatan Alat Aplikasi pengendalian motor DC menggunakan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS

BAB IV PENGUJIAN DAN ANALISIS BAB IV PENGUJIAN DAN ANALISIS Pada bab ini akan dijelaskan mengenai pengujian dan analisis alat peraga sistem kendali pendulum terbalik yang meliputi pengujian dimensi mekanik, pengujian dimensi dan massa

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA DATA

BAB IV PENGUJIAN DAN ANALISA DATA BAB IV PENGUJIAN AN ANALISA ATA Pada bab ini akan dibahas tentang pengujian dan pengoperasian Sistem Pendeteksi Kebocoran Gas pada Rumah Berbasis Layanan Pesan Singkat yang telah selesai dirancang. Pengujian

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA DATA

BAB IV PENGUJIAN DAN ANALISA DATA BAB IV PENGUJIAN DAN ANALISA DATA Pada bab ini akan dibahas tentang pengujian dan pengoperasian Sistem Pemantau Ketinggian Air Cooling Tower di PT. Dynaplast. Pengujian dan pengoperasian ini dilakukan

Lebih terperinci

BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT

BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT BAB III PERENCANAAN SISTEM DAN PEMBUATAN ALAT 3.1 Pendahuluan Dalam bab ini akan dibahas pembuatan seluruh sistem perangkat dari Sistem Interlock pada Akses Keluar Masuk Pintu Otomatis dengan Identifikasi

Lebih terperinci

RANCANGAN ALAT UKUR WAKTU TUNDA RELE ARUS LEBIH

RANCANGAN ALAT UKUR WAKTU TUNDA RELE ARUS LEBIH RANCANGAN ALAT UKUR WAKTU TUNDA RELE ARUS LEBIH T. Ahri Bahriun 1) 1) Staf Pengajar Departemen Teknik Elektro, Fakultas Teknik USU Abstrak Rele arus lebih berfungsi untuk membuka circuit breaker jika terjadi

Lebih terperinci

BAB II DASAR TEORI Arduino Mega 2560

BAB II DASAR TEORI Arduino Mega 2560 BAB II DASAR TEORI Pada bab ini akan dijelaskan teori-teori penunjang yang diperlukan dalam merancang dan merealisasikan skripsi ini. Bab ini dimulai dari pengenalan singkat dari komponen elektronik utama

Lebih terperinci

BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY

BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY BAB III PERANCANGAN SISTEMKENDALI PADA EXHAUST FAN MENGGUNAKAN SMS GATEWAY 3.1 Perancangan Alat Dalam merealisasikan sebuah sistem elektronik diperlukan tahapan perencanaan yang baik dan matang. Tahapan-tahapan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu. Noise Shaping

BAB 3 PERANCANGAN SISTEM. Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu. Noise Shaping BAB 3 PERANCANGAN SISTEM Perancangan Switching Amplifier ini dibagi menjadi tiga bagian utama, yaitu perancangan Modul Input, Modul FPGA dan Modul Output. Modul Input Digital audio dalam ROM 8 bit Bus

Lebih terperinci

=== PENCACAH dan REGISTER ===

=== PENCACAH dan REGISTER === === PENCACAH dan REGISTER === Pencacah Pencacah adalah sebuah register yang mampu menghitung jumlah pulsa detak yang masuk melalui masukan detaknya, karena itu pencacah membutuhkan karakteristik memori

Lebih terperinci

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 III. METODOLOGI PENELITIAN A. Waktu dan Tempat Penelitian Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium

Lebih terperinci

=== PERANCANGAN RANGKAIAN SEKUENSIAL ===

=== PERANCANGAN RANGKAIAN SEKUENSIAL === === PERANCANGAN RANGKAIAN SEKUENSIAL === Rangkaian Sekuensial, adalah rangkaian logika yang keadaan keluarannya dipengaruhi oleh kondisi masukan dan kondisi rangkaian saat itu. Variabel Masukan Keadaan

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Metodologi penelitian yang digunakan dalam perancangan sistem ini antara lain studi kepustakaan, meninjau tempat pembuatan tahu untuk mendapatkan dan mengumpulkan sumber informasi

Lebih terperinci

BAB III METODOLOGI PENULISAN

BAB III METODOLOGI PENULISAN BAB III METODOLOGI PENULISAN 3.1 Blok Diagram Gambar 3.1 Blok Diagram Fungsi dari masing-masing blok diatas adalah sebagai berikut : 1. Finger Sensor Finger sensor berfungsi mendeteksi aliran darah yang

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

BAB IV PENGUKURAN DAN ANALISIS SISTEM. diharapkan dengan membandingkan hasil pengukuran dengan analisis. Selain itu,

BAB IV PENGUKURAN DAN ANALISIS SISTEM. diharapkan dengan membandingkan hasil pengukuran dengan analisis. Selain itu, BAB IV PENGUKURAN DAN ANALISIS SISTEM Pengukuran dilakukan untuk mengetahui apakah sistem beroperasi dengan baik, juga untuk menunjukkan bahwa sistem tersebut sesuai dengan yang diharapkan dengan membandingkan

Lebih terperinci

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER JURNAL LOGIC. VOL. 16. NO.1. MARET 2016 40 SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER I Gede Suputra Widharma dan Nengah Sunaya Jurusan Teknik Elektro

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

No Output LM 35 (Volt) Termometer Analog ( 0 C) Error ( 0 C) 1 0, , ,27 26,5 0,5 4 0,28 27,5 0,5 5 0, ,

No Output LM 35 (Volt) Termometer Analog ( 0 C) Error ( 0 C) 1 0, , ,27 26,5 0,5 4 0,28 27,5 0,5 5 0, , 56 Tabel 4.1 Hasil Perbandingan Antara Output LM 35 dengan Termometer No Output LM 35 (Volt) Termometer Analog ( 0 C) Error ( 0 C) 1 0,25 25 0 2 0,26 26 0 3 0,27 26,5 0,5 4 0,28 27,5 0,5 5 0,29 28 1 6

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA. regulator yang digunakan seperti L7805, L7809, dan L Maka untuk

BAB IV PENGUJIAN DAN ANALISA. regulator yang digunakan seperti L7805, L7809, dan L Maka untuk BAB IV PENGUJIAN DAN ANALISA 4.1 Pengukuran Catu Daya Pada pengujian catu daya dilakukan beberapa pengukuran terhadap IC regulator yang digunakan seperti L7805, L7809, dan L78012. Maka untuk regulator

Lebih terperinci

Abstract

Abstract DESAIN HIGH FREQUENCY PWM MENGGUNAKAN CPLD DAN PEMANFAATAN SISTEM SEBAGAI KONTROL PADA DC-DC FLYBACK UP CONVERTER Sigit Kurniawan 1, Setyawan P Sakti 1, Hari Arief Dharmawan 1 1) Jurusan Fisika FMIPA Univ.

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

BAB III RANCANGAN SISTEM. dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram

BAB III RANCANGAN SISTEM. dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram BAB III RANCANGAN SISTEM 3.1. Diagram Blok Rangkaian Diagram blok merupakan gambaran dasar dari rangkaian sistem yang akan dirancanag. Setiap diagram blok mempunyai fungsi masing-masing. Adapun diagram

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 31 BAB III PERANCANGAN SISTEM 3.1 Diagram Blok Air ditampung pada wadah yang nantinya akan dialirkan dengan menggunakan pompa. Pompa akan menglirkan air melalui saluran penghubung yang dibuat sedemikian

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB III PERANCANGAN ALAT DAN PROGRAM

BAB III PERANCANGAN ALAT DAN PROGRAM BAB III PERANCANGAN ALAT DAN PROGRAM 3.1. Gambaran Umum Pada bab ini akan dibahas mengenai perencanaan perangkat keras (hardware) dan perangkat lunak (software). Pembahasan ini meliputi pembahasan perangkat

Lebih terperinci

IMPLEMENTASI MIKROKONTROLER PIC 16F877A DALAM PERANCANGAN ROBOT OBSTACLE AVOIDANCE

IMPLEMENTASI MIKROKONTROLER PIC 16F877A DALAM PERANCANGAN ROBOT OBSTACLE AVOIDANCE IMPLEMENTASI MIKROKONTROLER PIC 16F877A DALAM PERANCANGAN ROBOT OBSTACLE AVOIDANCE HARMON VICKLER D. LUMBANRAJA, S.T., M.Kom (SEKOLAH TINGGI ILMU EKONOMI SURYA NUSANTARA) ABSTRAK Dalam pemrograman robot

Lebih terperinci

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6.

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. 1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. Pencacah (Counter) 7. Register Geser 8. Operasi Register 9.

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas dasar teori yang berhubungan dengan perancangan skripsi antara lain fungsi dari function generator, osilator, MAX038, rangkaian operasional amplifier, Mikrokontroler

Lebih terperinci

TUGAS AKHIR PERANCANGAN DAN PEMBUATAN SIMULASI WATER LEVEL CONTROL SYSTEM BERBASIS PC OLEH: I MADE BUDHI DWIPAYANA NIM

TUGAS AKHIR PERANCANGAN DAN PEMBUATAN SIMULASI WATER LEVEL CONTROL SYSTEM BERBASIS PC OLEH: I MADE BUDHI DWIPAYANA NIM TUGAS AKHIR PERANCANGAN DAN PEMBUATAN SIMULASI WATER LEVEL CONTROL SYSTEM BERBASIS PC UNIVERSITAS PENDIDIKAN GANESHA DEPARTEMEN PENDIDIKAN NASIONAL UNDIKSHA OLEH: I MADE BUDHI DWIPAYANA NIM. 0605031010

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas mengenai teori-teori dasar yang digunakan untuk pembuatan pintu gerbang otomatis berbasis Arduino yang dapat dikontrol melalui komunikasi Transifer dan Receiver

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM BAB III PERANCANGAN DAN REALISASI ALAT 3.1 Pembuatan Modulator 8-QAM Dalam Pembuatan Modulator 8-QAM ini, berdasarkan pada blok diagram modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok

Lebih terperinci

KENDALI LENGAN ROBOT MENGGUNAKAN MIKROKONTROLLER AT89S51

KENDALI LENGAN ROBOT MENGGUNAKAN MIKROKONTROLLER AT89S51 KENDALI LENGAN ROBOT MENGGUNAKAN MIKROKONTROLLER AT89S51 Eko Patra Teguh Wibowo Departemen Elektronika, Akademi Angkatan Udara Jalan Laksda Adi Sutjipto Yogyakarta den_patra@yahoo.co.id ABSTRACT A robot

Lebih terperinci

MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51

MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51 MANAJEMEN ENERGI PADA SISTEM PENDINGINAN RUANG KULIAH MELALUI METODE PENCACAHAN KEHADIRAN & SUHU RUANGAN BERBASIS MIKROKONTROLLER AT89S51 TUGAS UTS MATA KULIAH E-BUSSINES Dosen Pengampu : Prof. M.Suyanto,MM

Lebih terperinci

BAB III PERANCANGAN ALAT. dimmer atau terang redup lampu dan pengendalian pada on-off lampu. Remote

BAB III PERANCANGAN ALAT. dimmer atau terang redup lampu dan pengendalian pada on-off lampu. Remote BAB III PERANCANGAN ALAT Dalam merancang alat pengendali nyala lampu menggunakan media infra merah berbasis mikrokontroler terbagi atas dua pengendalian yaitu pengendalian dimmer atau terang redup lampu

Lebih terperinci

VOLTMETER DAN MULTIMETER DIGITAL

VOLTMETER DAN MULTIMETER DIGITAL Pengukuran Besaran Listrik (TC22082) Pertemuan 6 VOLTMETER DAN MULTIMETER DIGITAL Voltmeter Digital (DVM : Digital VoltMeter) Pada dasarnya DVM terdiri atas konverter analog ke digital (ADC), seven segment

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Dalam bidang teknologi, orientasi produk teknologi yang dapat dimanfaatkan untuk kehidupan manusia adalah produk yang berkualitas, hemat energi, menarik, harga murah, bobot ringan,

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN. Blok Diagram adalah alur kerja sistem secara sederhana yang

BAB III ANALISA DAN PERANCANGAN. Blok Diagram adalah alur kerja sistem secara sederhana yang BAB III ANALISA DAN PERANCANGAN 3.1 Blok Diagram Blok Diagram adalah alur kerja sistem secara sederhana yang bertujuan untuk menerangkan cara kerja sistem tersebut secara garis besar berupa gambar dengan

Lebih terperinci

BAB III KEGIATAN PENELITIAN TERAPAN

BAB III KEGIATAN PENELITIAN TERAPAN BAB III KEGIATAN PENELITIAN TERAPAN Pada bab ini akan dijelaskan langkah-langkah yang akan digunakan dalam menyelesaikan Alat Simulasi Pembangkit Sinyal Jantung, berupa perangkat keras (hardware) dan perangkat

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

BAB 2 LANDASAN TEORI. robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam

BAB 2 LANDASAN TEORI. robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam BAB 2 LANDASAN TEORI 2.1 Jenis Jenis Motor DC Motor DC merupakan jenis motor yang paling sering digunakan di dalam dunia robotika. Salah satu alasannya adalah arah putaran motor DC, baik searah jarum jam

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

BAB III METODE PENELITIAN. Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari

BAB III METODE PENELITIAN. Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari BAB III METODE PENELITIAN 3.1 Waktu dan Tempat Penelitian Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari 2015. Perancangan dan pengerjaan perangkat keras (hardware) dan laporan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM Pada bab ini membahas tentang perancangan sistem yang dibuat dimana diantaranya terdiri dari penjelasan perancangan perangkat keras, perancangan piranti lunak dan rancang bangun

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 25 BAB III PERANCANGAN SISTEM Sistem monitoring ini terdiri dari perangkat keras (hadware) dan perangkat lunak (software). Perangkat keras terdiri dari bagian blok pengirim (transmitter) dan blok penerima

Lebih terperinci

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Latar Belakang Industri yang ada saat ini menghhasilkan gas yang berbahaya bagi manusia. Sensor QCM 20 Mhz mempunyai sensitivitas

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Uraian Umum Dalam perancangan alat akses pintu keluar masuk menggunakan pin berbasis mikrokontroler AT89S52 ini, penulis mempunyai pemikiran untuk membantu mengatasi

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS 3.1. Spesifikasi Perancangan Perangkat Keras Secara sederhana, perangkat keras pada tugas akhir ini berhubungan dengan rancang bangun robot tangan. Sumbu

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2014 sampai dengan Januari 2015.

III. METODE PENELITIAN. Penelitian ini dilaksanakan pada bulan Juli 2014 sampai dengan Januari 2015. 28 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilaksanakan pada bulan Juli 2014 sampai dengan Januari 2015. Perancangan, pembuatan dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1. Mikrokontroller AVR Mikrokontroller adalah suatu alat elektronika digital yang mempunyai masukan serta keluaran serta dapat di read dan write dengan cara khusus. Mikrokontroller

Lebih terperinci

BAB IV UJI COBA DAN ANALISIS SISTEM

BAB IV UJI COBA DAN ANALISIS SISTEM BAB IV UJI COBA DAN ANALISIS SISTEM Untuk mengetahui kehandalan dan keberhasilan dari sistem yang kita buat, maka diperlukan pengujian terhadap terhadap komponen komponen pembangun sistem terutama sensor

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN SISTEM

BAB IV ANALISA DAN PENGUJIAN SISTEM BAB IV ANALISA DAN PENGUJIAN SISTEM 4.1 Pengujian Perangkat Keras (Hardware) Pengujian perangkat keras sangat penting dilakukan karena melalui pengujian ini rangkaian-rangkaian elektronika dapat diuji

Lebih terperinci

KENDALI MOTOR DC. 3. Mahasiswa memahami pengontrolan arah putar dan kecepatan motor DC menggunakan

KENDALI MOTOR DC. 3. Mahasiswa memahami pengontrolan arah putar dan kecepatan motor DC menggunakan KEGIATAN BELAJAR 7 KENDALI MOTOR DC A. Tujuan 1. Mahasiswa memahami penerapan switching dengan rangkaian H-bridge pada motor DC 2. Mahasiswa memahami pengontrolan arah dan kecepatan motor DC menggunakan

Lebih terperinci

SISTEM KONTROL KECEPATAN MOTOR DC D-6759 BERBASIS ARDUINO MEGA 2560

SISTEM KONTROL KECEPATAN MOTOR DC D-6759 BERBASIS ARDUINO MEGA 2560 1 SISTEM KONTROL KECEPATAN MOTOR DC D-6759 BERBASIS ARDUINO MEGA 2560 Muhamad Faishol Arif, Pembimbing 1: Erni Yudaningtyas, Pembimbing 2: Rahmadwati. Abstrak Hampir seluruh industri didunia saat ini memanfaatkan

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci