FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

Ukuran: px
Mulai penontonan dengan halaman:

Download "FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19"

Transkripsi

1 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo

2 Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital Converter (ADC) Pemrosesan Sinyal Digital Digital-to-Analog Converter (DAC) 2

3 Pendahuluan Sistem Perancangan Antarmuka Menggunakan FPGA 3

4 Analog Capture Circuit Mencuplik sinyal analog dan mengubahnya menjadi sinyal digital kemudian diproses sistem komputasinya oleh FPGA DAC digunakan sebagai keluaran hasil komputasinya yang berupa sinyal analog 4

5 Analog Capture Circuit Analog capture circuit pada FPGA Spartan-3E Starter Kit terdiri dari programmable scaling pre-amplifier dan ADC 5

6 Analog Capture Circuit Analog capture circuit terdiri dari Linear Technology LTC pre-amplifier yang dapat diprogram dengan menskala sinyal analog pada header J7 Keluaran pre-amplifier terhubung ke Linear Technology LTC1407A-1 ADC Pre-amplifier dan ADC diprogram secara serial atau dikendalikan oleh FPGA. 6

7 Analog Capture Circuit Mengubah tegangan analog pada VINA atau VINB dan mengubahnya menjadi representasi digital 14-bit, D[13:0] Keluaran Digital ( Vin -1,65) x Gain ( 13 : 0) = x 1,

8 Analog Capture Circuit Gain merupakan pengaturan bati yang diisikan ke programmable pre-amplifier 8

9 Analog Capture Circuit Tegangan referensi untuk amplifier dan ADC adalah 1,65V yang dibangkitkan melalui tegangan pembagi Jangkah maksimum ADC adalah +1,25V dari tegangan referensi 1,65V Keluaran ADC merupakan 14-bit keluaran digital dua komplemen, sehingga nilainya diskala oleh 8192 atau

10 Analog Capture Circuit 10

11 Analog Capture Circuit Nilai digital merupakan representasi signed 14- bit dua komplemen dari jangkah masukan -1,25v sampai +1,25v Jika ingin menghubungkan sinyal alternating current (AC) ke pin masukan VINA atau VINB pada FPGA Spartan-3E harus melalui kapasitor untuk menyaring sinyal direct current (DC), sehingga hanya sinyal AC saja yang akan dilewatkan 11

12 Analog Capture Circuit 12

13 Analog Capture Circuit Top level dari amplifier dan ADC 13

14 Programmable Pre- Amplifier LTC mempunyai dua inverting amplifier dengan gain yang dapat diprogram Tujuan amplifier adalah untuk menskala tegangan pada VINA dan arus pada VINB 14

15 Programmable Pre- Amplifier Sinyal Pin FPGA Arah Deskripsi SPI_MOSI T4 FPGA AD Data serial: keluaran master, masukan slave. Mempunyai 8-bit pengaturan gain yang dapat diprogram sebagaimana didefinisikan dalam tabel 3.2. AMP_CS N7 FPGA AMP Pemilih chip active-low. Bati amplifier diatur ketika sinyal kembali tinggi. SPI_SCK U16 FPGA AMP Clock AMP_SHDN P7 FPGA AMP Active-high shutdown, reset AMP_DOUT E18 FPGA AMP Data serial, melakukan pengulangan pengaturan bati amplifier sebelumnya, hal ini dapat diabaikan pada beberapa aplikasi. 15

16 Programmable Pre- Amplifier Pengaturan gain untuk pre-amplifier A3 A2 A1 A0 Gain Range tegangan masukan B3 B2 B1 B0 minimum maksimum ,4 2, ,025 2, ,4 1, ,525 1, ,5875 1, ,625 1, ,6375 1,

17 Programmable Pre- Amplifier Komunikasi berbasis SPI antarmuka dengan amplifier Keluaran AMP_DOUT dari amplifier berulangulang mengatur gain sebelumnya, dalam beberapa aplikasi dapat diabaikan Antarmuka amplifier relatif lamban dan hanya mendukung sekitar 10 MHz frekuensi clock 17

18 Programmable Pre- Amplifier 18

19 Programmable Pre- Amplifier AMP_DOUT selalu aktif sehingga tidak dapat membagi sinyal SDO yang sama sebagaimana piranti lainnya FPGA perlu mentransmisikan masing-masing byte MSB yang pertama VHDL untuk memilih gain slave LTC constant gain : std_logic_vector(7 downto 0) := " "; 19

20 Programmable Pre- Amplifier Deskripsi rangkaian untuk mengendalikan Amplifier ini menggunakan bahasa VHDL dengan metode Finite State Machine (FSM) Moore 20

21 Programmable Pre- Amplifier Deskripsi rangkaian untuk mengendalikan Amplifier ini menggunakan bahasa VHDL dengan metode Finite State Machine (FSM) Moore 21

22 Analog-to-Digital Converter Analog Digital Converter (ADC) yang digunakan adalah LTC 1407A-1 yang mempunyai dua masukan sinyal, masukan keduanya berupa sinyal analog yang di-sampling secara simultan ketika sinyal AD_CONV diaplikasikan ADC ini men-sampling data analog menggunakan serial peripheral interface (SPI). 22

23 Analog-to-Digital Converter Sinyal Pin FPGA Arah Deskripsi Spartan-3E SPI_SCK U16 FPGA ADC Clock AD_CONV P11 FPGA ADC Active-High shutdown dan reset SPI_MISO N10 FPGA ADC Data serial: masukan master, keluaran serial dan merupakan representasi digital nilai analog sample sebagai dua 14-bit dua nilai biner komplemen. 23

24 Analog-to-Digital Converter 24

25 Analog-to-Digital Converter 25

26 Pemrosesan Sinyal Digital Proses sinyal digital yang dihasilkan dari ADC untuk menghasilkan pengukuran daya listrik bernilai digital dan hasilnya diteruskan ke DAC menggunakan FPGA Spartan-3E 26

27 Pemrosesan Sinyal Digital 27

28 Digital-to-Analog Converter Spartan 3E starter kit mempunyai piranti kompatibel dengan SPI, empat channel, Digitalto-Analog Converter (DAC) serial Piranti DAC yang digunakan adalah Linear Technology LTC2624 quad DAC dengan resolusi unsigned 12-bit Empat keluaran dari DAC diperlihatkan pada J5 header yang menggunakan format Digilent 6-pin peripheral module. 28

29 Digital-to-Analog Converter 29

30 Digital-to-Analog Converter 30

31 Digital-to-Analog Converter Sinyal Pin FPGA Arah Deskripsi SPI_MOSI T4 FPGA DAC Data serial : keluaran master, masukan slave DAC_CS N8 FPGA DAC Pemilih chip active-low. Konversi digital ke analog mulai ketika sinyal kembali high. SPI_SCK U16 FPGA DAC Clock DAC_CLR P8 FPGA DAC Asinkron, masukan reset active-low SPI_MISO N10 FPGA DAC Data serial: masukan master, keluaran slave. 31

32 Digital-to-Analog Converter Sinyal Piranti yang di-disable Nilai disable SPI_SS_B SPI serial flash 1 AMP_CS Programmable pre-amplifier 1 AD_CONV Analog-to-Digital Converter (ADC) 0 SF_CE0 StrataFlash Parallel Flash PROM 1 FPGA_INIT_B Platform Flash PROM 1 32

33 Digital-to-Analog Converter 33

34 Digital-to-Analog Converter Channel A dan B menggunakan tegangan referensi 3,3V sedangkan channel C dan D menggunakan referensi 2,5V. Tegangan referensi itu sendiri mempunyai toleransi +5%, sehingga akan ada kaitan variansi pada tegangan keluaran V = D[11 : 0] 4096 OUT V REFERENSI x 34

35 Digital-to-Analog Converter Tegangan referensi terkait dengan keluaran DAC A dan B sekitar 3,3V+5% D[11 : 0] V = x ( 3,3V ± 5% ) OUT A atau B 4096 Tegangan referensi terkait dengan keluaran DAC C dan D sekitar 2,5V+5% D[11 : 0] V = x ( 2,5V ± 5% ) OUT C atau D

36 Digital-to-Analog Converter Pengendali DAC 36

37 TERIMA KASIH

DAC - ADC Digital to Analog Converter Analog to Digital Converter

DAC - ADC Digital to Analog Converter Analog to Digital Converter DAC - ADC Digital to Analog Converter Analog to Digital Converter Missa Lamsani Hal 1 Konverter Alat bantu digital yang paling penting untuk teknologi kontrol proses adalah yang menerjemahkan informasi

Lebih terperinci

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC)

ADC ( Analog To Digital Converter Converter konversi analog ke digital ADC (Analog To Digital Convertion) Analog To Digital Converter (ADC) ADC (Analog To Digital Converter) adalah perangkat elektronika yang berfungsi untuk mengubah sinyal analog (sinyal kontinyu) menjadi sinyal digital. Perangkat ADC (Analog To Digital Convertion) dapat berbentuk

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

MICROCONTROLER AVR AT MEGA 8535

MICROCONTROLER AVR AT MEGA 8535 MICROCONTROLER AVR AT MEGA 8535 Dwisnanto Putro, S.T., M.Eng. MIKROKONTROLER AVR Jenis Mikrokontroler AVR dan spesifikasinya Flash adalah suatu jenis Read Only Memory yang biasanya diisi dengan program

Lebih terperinci

Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. 1 Pendahuluan Fitur utama dari sistem akuisisi data Karakteristik analog-to-digital converter Karakteristik 16F873A analog-to-digital converter Rangkuman 2

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar

BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED. Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar BAB III RANCANG BANGUN SISTEM KARAKTERISASI LED 3.1. Rancang Bangun Perangkat Keras Rancangan sistem karakterisasi LED diperlihatkan pada blok diagram Gambar 3.1. Sistem ini terdiri dari komputer, antarmuka

Lebih terperinci

Gambar 3. 1 Diagram blok system digital

Gambar 3. 1 Diagram blok system digital 3.1 Introduction Kebanyakan informasi yang ada di dunia nyata adalah besaran analog. Contohnya tegangan, arus listrik, massa, tekanan, suhu, intensitas cahaya dan lain sebagainya. Namun pada era masa kini

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA No. LST/EKO/DEL 214/10 evisi : 02 Tgl : 10 Mei 2010 Hal 1 dari 10 1. Kompetensi Memahami cara kerja ADC (Analog to Digital Converter) dan DAC (Digital to Analog Converter) 2. Sub Kompetensi Memahami cara

Lebih terperinci

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER)

LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) LAB PTE - 05 (PTEL626) JOBSHEET 8 (ADC-ANALOG TO DIGITAL CONVERTER) A. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 8 Bit. 2. Mahasiswa dapat merancang rangkaian ADC

Lebih terperinci

Elektronika Lanjut. Sensor Digital. Elektronika Lanjut Missa Lamsani Hal 1

Elektronika Lanjut. Sensor Digital. Elektronika Lanjut Missa Lamsani Hal 1 Sensor Digital Missa Lamsani Hal 1 Pengertian Sensor Sensor adalah suatu alat yang merubah dari besaran fisika menjadi besaran listrik. Suhu merupakan suatu besaran, karena dapat diukur, dipantau dan dapat

Lebih terperinci

ADC (Analog to Digital Converter)

ADC (Analog to Digital Converter) ADC (Analog to Digital Converter) Analog to Digital Converter (ADC) adalah sebuah piranti yang dirancang untuk mengubah sinyal-sinyal analog menjadi sinyal sinyal digital. IC ADC 0804 dianggap dapat memenuhi

Lebih terperinci

Sistem Minimum Mikrokontroler. TTH2D3 Mikroprosesor

Sistem Minimum Mikrokontroler. TTH2D3 Mikroprosesor Sistem Minimum Mikrokontroler TTH2D3 Mikroprosesor MIKROKONTROLER AVR Mikrokontroler AVR merupakan salah satu jenis arsitektur mikrokontroler yang menjadi andalan Atmel. Arsitektur ini dirancang memiliki

Lebih terperinci

$'&$QDORJWR'LJLWDO&RQYHUWLRQ

$'&$QDORJWR'LJLWDO&RQYHUWLRQ $'&$QDORJWR'LJLWDO&RQYHUWLRQ KONVERTER Alat bantu digital yang paling penting untuk teknologi kontrol proses adalah yang menerjemahkan informasi digital ke bentuk analog dan juga sebaliknya. Sebagian besar

Lebih terperinci

MICROCONTROLER AVR AT MEGA 8535

MICROCONTROLER AVR AT MEGA 8535 MICROCONTROLER AVR AT MEGA 8535 Dwisnanto Putro, S.T., M.Eng. MIKROKONTROLER AVR Mikrokontroler AVR merupakan salah satu jenis arsitektur mikrokontroler yang menjadi andalan Atmel. Arsitektur ini dirancang

Lebih terperinci

BAB IV ANALISIS RANGKAIAN ELEKTRONIK

BAB IV ANALISIS RANGKAIAN ELEKTRONIK BAB IV ANALISIS RANGKAIAN ELEKTRONIK 4.1 Rangkaian Pengontrol Bagian pengontrol sistem kontrol daya listrik, menggunakan mikrokontroler PIC18F4520 seperti yang ditunjukkan pada Gambar 30. Dengan osilator

Lebih terperinci

BAB II DASAR TEORI. 2.1 Sistem Pendeteksi Benturan. Sistem pendeteksi benturan saat ini khususnya dibutuhkan didalam

BAB II DASAR TEORI. 2.1 Sistem Pendeteksi Benturan. Sistem pendeteksi benturan saat ini khususnya dibutuhkan didalam BAB II DASAR TEORI 2.1 Sistem Pendeteksi Benturan Sistem pendeteksi benturan saat ini khususnya dibutuhkan didalam pengiriman barang-barang yang membutuhkan pengawasan khusus agar pengaturan awal dari

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias BAB IV PENGUJIAN ALAT DAN ANALISIS DATA 4.1. Kalibrasi Sistem CV Meter Kalibrasi yang dilakukan meliputi kalibrasi IDAC, IDAC1, Vstep dan ADC. IDAC yang digunakan mempunyai resolusi 8 bit dengan arus skala

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

DASAR-DASAR AKUISISI DATA

DASAR-DASAR AKUISISI DATA PETEMUAN 8 KONSEP AKUISISI DATA dan KONVESI By ATIT PETIWI DASA-DASA AKUISISI DATA Elemen-elemen sistem akuisisi data pada PC By. Atit Pertiwi 2 1 Sebuah komputer PC; Transduser; Pengkondisi sinyal (signal

Lebih terperinci

Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC )

Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC ) Petunjuk Penggunaan SENSOR ARUS LISTRIK ± 3A (GSC 410 07) Jl. PUDAK No. 4 Bandung 40113, Jawa Barat-INDONESIA - Phone +62-22-727 2755 (Hunting) Fax. +62-22-720 7252 - E-mail: contact@pudak.com - Website:

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 3.1 Perancangan Alat Dalam merealisasikan sebuah sistem elektronik diperlukan perancangan komponen secara tepat dan akurat. Tahap perancangan sangat penting dilakukan untuk mempermudah

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI

BAB III PERENCANAAN DAN REALISASI BAB III PERENCANAAN DAN REALISASI 3.1 Perancangan Blok Diaram Metode untuk pelaksanaan Program dimulai dengan mempelajari sistem pendeteksi kebocoran gas pada rumah yang akan digunakan. Dari sini dikembangkan

Lebih terperinci

KONVERTER PERTEMUAN 13. Sasaran Pertemuan 13

KONVERTER PERTEMUAN 13. Sasaran Pertemuan 13 PERTEMUAN 13 KONVERTER Sasaran Pertemuan 13 Mahasiswa diharapkan mengerti tentang Converter yang terdiri dari : - Rangkaian ADC - Rangkaian DAC - Rangkaian Pembanding 1 Data di dalam mikroprosesor selalu

Lebih terperinci

RANCANG BANGUN DAN ANALISIS SISTEM PERINGATAN POLUSI UDARA PADA AREA PARKIR TERTUTUP MENGGUNAKAN FPGA XILINX SPARTAN 3E SKRIPSI

RANCANG BANGUN DAN ANALISIS SISTEM PERINGATAN POLUSI UDARA PADA AREA PARKIR TERTUTUP MENGGUNAKAN FPGA XILINX SPARTAN 3E SKRIPSI UNIVERSITAS INDONESIA RANCANG BANGUN DAN ANALISIS SISTEM PERINGATAN POLUSI UDARA PADA AREA PARKIR TERTUTUP MENGGUNAKAN FPGA XILINX SPARTAN 3E SKRIPSI VICKY DWI KURNIAWAN 0806459910 FAKULTAS TEKNIK UNIVERSITAS

Lebih terperinci

PERTEMUAN 13 KONVERTER

PERTEMUAN 13 KONVERTER PERTEMUAN 13 KONVERTER Sasaran Pertemuan 13 Mahasiswa diharapkan mengerti tentang Converter yang terdiri dari : - Rangkaian ADC - Rangkaian DAC - Rangkaian Pembanding Data di dalam mikroprosesor selalu

Lebih terperinci

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI...

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI... DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... i ii iv v vi KATA PENGANTAR... vii DAFTAR ISI... ix DAFTAR GAMBAR... xii DAFTAR TABEL... xiv DAFTAR SINGKATAN...

Lebih terperinci

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI BAB II TINJAUAN PUSTAKA DAN LANDASAN TEORI 2 2.1 Tinjauan Pustaka Adapun pembuatan modem akustik untuk komunikasi bawah air memang sudah banyak dikembangkan di universitas-universitas di Indonesia dan

Lebih terperinci

Petunjuk Penggunaan SENSOR TEGANGAN (GSC )

Petunjuk Penggunaan SENSOR TEGANGAN (GSC ) Petunjuk Penggunaan SENSOR TEGANGAN (GSC 410 04) Jl. PUDAK No. 4 Bandung 40113, Jawa Barat-INDONESIA - Phone +62-22-727 2755 (Hunting) Fax. +62-22-720 7252 - E-mail: contact@pudak.com - Website: www.pudak.com

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 1 Prinsip-Prinsip Sistem Digital Ferry Wahyu Wibowo Outlines Sistem digital Persamaan dan perbedaan elektronika analog dan elektronika digital Sistem

Lebih terperinci

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika TAKARIR AC (Alternating Current) Adalah sistem arus listrik. Sistem AC adalah cara bekerjanya arus bolakbalik. Dimana arus yang berskala dengan harga rata-rata selama satu periode atau satu masa kerjanya

Lebih terperinci

BAB III PERANCANGAN STAND ALONE RFID READER. Dalam penelitian ini, perancangan sistem meliputi :

BAB III PERANCANGAN STAND ALONE RFID READER. Dalam penelitian ini, perancangan sistem meliputi : BAB III PERANCANGAN STAND ALONE RFID READER 3.1 Perancangan Sistem Dalam penelitian ini, perancangan sistem meliputi : a. perancangan perangkat keras (hardware) dengan membuat reader RFID yang stand alone

Lebih terperinci

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA

ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA ANALISA ADC 0804 dan DAC 0808 MENGGUNAKAN MODUL SISTEM AKUISISI DATA PADA PRAKTIKUM INSTRUMENTASI ELEKTRONIKA Disusun oleh : Nama : Ferdian Cahyo Dwiputro dan Erma Triawati Ch, ST., MT NPM : 16409952 Jurusan

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB II KWH-METER ELEKTRONIK

BAB II KWH-METER ELEKTRONIK 3 BAB II KWH-METER ELEKTRONIK 2.1. UMUM Energi ialah besar daya terpakai oleh beban dikalikan dengan lamanya pemakaian daya tersebut atau daya yang dikeluarkan oleh pembangkit energi listrik dikalikan

Lebih terperinci

Gambar 3.1. Diagram alir metodologi perancangan

Gambar 3.1. Diagram alir metodologi perancangan 19 BAB 3 METODOLOGI PERANCANGAN 3.1. Metode Perancangan Berikut merupakan diagram alur kerja yang menggambarkan tahapantahapan dalam proses rancang bangun alat pemutus daya siaga otomatis pada Peralatan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI Pada bab ini akan dibahas dasar teori yang berhubungan dengan perancangan skripsi antara lain fungsi dari function generator, osilator, MAX038, rangkaian operasional amplifier, Mikrokontroler

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

Materi 4: Microprocessor-Based Control

Materi 4: Microprocessor-Based Control Materi 4: Microprocessor-Based Control I Nyoman Kusuma Wardana Sistem Komputer STMIK STIKOM Bali Mikroprosesor mengantarkan ke suatu era baru dlm sistem kontrol Mikroprosesor menawarkan fleksibilitas

Lebih terperinci

Percobaan 4. ADC & DAC Based I2C

Percobaan 4. ADC & DAC Based I2C Percobaan 4 ADC & DAC Based I2C I. Tujuan 1. Untuk mengenal Modul Serial port dengan I 2 C 2. Mempelajari Konfigurasi Input dan ADC dan DAC serial port dengan I 2 C II. Ruang Lingkup A. Teori Singkat Pada

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK

ADC-DAC 28 IN-3 IN IN-4 IN IN-5 IN IN-6 ADD-A 5 24 IN-7 ADD-B 6 22 EOC ALE msb ENABLE CLOCK ADC-DAC A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui prinsip kerja ADC dan DAC.. Mengetahui toleransi kesalahan ADC dan ketelitian DAC.. Memahami

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. Motor DC dan Motor Servo 2.1.1. Motor DC Motor DC berfungsi mengubah tenaga listrik menjadi tenaga gerak (mekanik). Berdasarkan hukum Lorenz bahwa jika suatu kawat listrik diberi

Lebih terperinci

BAB III PERANCANGAN DAN IMPLEMENTASI C-V METER BERBASIS SoC C8051F350

BAB III PERANCANGAN DAN IMPLEMENTASI C-V METER BERBASIS SoC C8051F350 BAB III PERANCANGAN DAN IMPLEMENTASI C-V METER BERBASIS SoC C8051F350 3.1 Perancangan dan Implementasi Perangkat Keras 3.1.1 Perancangan sistem C-V meter Diagram yang disederhanakan dari rangkaian sistem

Lebih terperinci

DIGITAL TO ANALOG CONVERTER

DIGITAL TO ANALOG CONVERTER PERCOBAAN 9 DIGITAL TO ANALOG CONVERTER 9.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim digital ke analog Membuat rangkaian DAC Binary-weighted

Lebih terperinci

Perancangan Sistim Elektronika Analog

Perancangan Sistim Elektronika Analog Petunjuk Praktikum Perancangan Sistim Elektronika Analog Lab. Elektronika Industri Jurusan Teknik Elektro Fakultas Teknologi Industri Institut Teknologi Sepuluh Nopember Lab 1. Amplifier Penguat Dengan

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM. Dari diagram sistem dapat diuraikan metode kerja sistem secara global.

BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM. Dari diagram sistem dapat diuraikan metode kerja sistem secara global. BAB III PERANCANGAN DAN REALISASI PERANGKAT KERAS DAN PERANGKAT LUNAK SISTEM 3.1 Perancangan Perangkat Keras 3.1.1 Blok Diagram Dari diagram sistem dapat diuraikan metode kerja sistem secara global. Gambar

Lebih terperinci

OP-01 UNIVERSAL OP AMP

OP-01 UNIVERSAL OP AMP OP-01 UNIVERSAL OP AMP Perkembangan teknologi mikrokontroler dan digital dewasa ini semakin pesat. Berbagai macam jenis mikrokontroler, peripheral maupun IC-IC Digital semakin mempermudah para praktisi

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. MOSFET MOSFET atau Metal Oxyde Semiconductor Field Effect Transistor merupakan salah satu jenis transistor efek medan (FET). MOSFET memiliki tiga pin yaitu gerbang (gate), penguras

Lebih terperinci

SINYAL & RANGKAIAN DIGITAL

SINYAL & RANGKAIAN DIGITAL TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-5 SINYAL & RANGKAIAN DIGITAL disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Sinyal Analog Sinyal

Lebih terperinci

ADC dan DAC Rudi Susanto

ADC dan DAC Rudi Susanto ADC dan DAC Rudi Susanto Analog To Digital Converter Sinyal Analog : sinyal kontinyu atau diskontinyu yang didasarkan pada waktu. Sinyal analog dapat dihasilkan oleh alam atau buatan. Contoh sinyal analog

Lebih terperinci

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip

TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip 8 DAC - ADC TUJUAN : Setelah mempelajari bab ini mahasiswa diharapkan mampu : Menjelaskan pengertian dasar dari DAC dan ADC secara prinsip Menjelaskan rangkaian dasar DAC dengan menggunakan Op-Amp. Menjelaskan

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA SISTEM. Bab ini menjelaskan tentang pengujian program yang telah direalisasi.

BAB IV PENGUJIAN DAN ANALISA SISTEM. Bab ini menjelaskan tentang pengujian program yang telah direalisasi. BAB IV PENGUJIAN DAN ANALISA SISTEM Bab ini menjelaskan tentang pengujian program yang telah direalisasi. Tujuan pengujian ini adalah untuk mengetahui apakah program yang telah direalisasi sesuai dengan

Lebih terperinci

BAB II DASAR TEORI. Gambar 2.1. Untai Hard Clipping Aktif

BAB II DASAR TEORI. Gambar 2.1. Untai Hard Clipping Aktif BAB II DASAR TEORI Pada bab ini akan dijelaskan teori-teori yang mendasari perancangan sistem alat efek gitar drive analog dengan sistem pengontrol digital. Pada alat efek gitar drive analog dengan sistem

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Perancangan merupakan proses yang kita lakukan terhadap alat, mulai dari rancangan kerja rangkaian hingga hasil jadi yang akan difungsikan. Perancangan dan pembuatan alat merupakan

Lebih terperinci

BAB II DASAR TEORI 2.1. Mikrokontroler Tipe Atmega 644p

BAB II DASAR TEORI 2.1. Mikrokontroler Tipe Atmega 644p BAB II DASAR TEORI Pada bab ini akan dibahas beberapa teori pendukung yang digunakan sebagai acuan dalam merealisasikan sistem dan penjelasan mengenai perangkat-perangkat yang digunakan untuk merealisasikan

Lebih terperinci

1.1 DEFINISI PROSES KONTROL

1.1 DEFINISI PROSES KONTROL BAB I PENDAHULUAN TUJUAN PEMBELAJARAN Bab ini akan membahas loop kontrol proses secara keseluruhan yang didalamnya mengandung komponen-komponen yang mendukung pada proses kontrol. Setelah membacanya diharapkan

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI SISTEM. Dalam tugas akhir ini dirancang sebuah modulator BPSK dengan bit rate

BAB III PERANCANGAN DAN REALISASI SISTEM. Dalam tugas akhir ini dirancang sebuah modulator BPSK dengan bit rate BAB III PERANCANGAN DAN REALISASI SISTEM 3.1 Gambaran Umum Dalam tugas akhir ini dirancang sebuah modulator BPSK dengan bit rate 64 Kbps untuk melakukan proses modulasi terhadap sinyal data digital. Dalam

Lebih terperinci

'$&'LJLWDOWR$QDORJ&RQYHUWLRQ

'$&'LJLWDOWR$QDORJ&RQYHUWLRQ '$&'LJLWDOWR$QDORJ&RQYHUWLRQ TEORI DASAR Rangkaian penjumlah op-amp (summing amplifier) dapat digunakan untuk menyusun suatu konverter D/A dengan memakai sejumlah hambatan masukan yang diberi bobot dalam

Lebih terperinci

BAB III ANALISA MASALAH DAN PERANCANGAN

BAB III ANALISA MASALAH DAN PERANCANGAN BAB III ANALISA MASALAH DAN PERANCANGAN III.1. Analisa Sub bab ini berisikan tentang analisa sistem yang akan dibangun. Sub bab ini membahas teknik pemecahan masalah yang menguraikan sebuah sistem menjadi

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI. Blok diagram carrier recovery dengan metode costas loop yang

BAB III PERANCANGAN DAN REALISASI. Blok diagram carrier recovery dengan metode costas loop yang BAB III PERANCANGAN DAN REALISASI 3.1 Perancangan Alat Blok diagram carrier recovery dengan metode costas loop yang direncanakan diperlihatkan pada Gambar 3.1. Sinyal masukan carrier recovery yang berasal

Lebih terperinci

BAB VI INSTRUMEN PENGKONDISI SINYAL

BAB VI INSTRUMEN PENGKONDISI SINYAL BAB VI INSTRUMEN PENGKONDISI SINYAL Pengkondisian sinyal merupakan suatu konversi sinyal menjadi bentuk yang lebih sesuai yang merupakan antarmuka dengan elemen-elemen lain dalam suatu kontrol proses.

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. Light-emitting Diode (LED) LED adalah semikonduktor kompleks yang mengubah arus listrik menjadi cahaya. Proses konversi tersebut cukup efisien sehingga LED tersebut dapat menghasilkan

Lebih terperinci

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009

III. METODOLOGI PENELITIAN. Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 III. METODOLOGI PENELITIAN A. Waktu dan Tempat Penelitian Penelitian dan perancangan tugas akhir ini telah dimulai sejak bulan Juli 2009 dilakukan di Laboratorium Konversi Energi Elektrik dan Laboratorium

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1 Definisi PWM Sinyal PWM pada umumnya memiliki amplitudo dan frekuensi dasar yang tetap, namun, lebar pulsanya bervariasi. Lebar pulsa PWM berbanding lurus dengan amplitudo sinyal

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

Bab III. Operational Amplifier

Bab III. Operational Amplifier Bab III Operational Amplifier 30 3.1. Masalah Interfacing Interfacing sebagai cara untuk menggabungkan antara setiap komponen sensor dengan pengontrol. Dalam diagram blok terlihat hanya berupa garis saja

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 RANCANGAN PERANGKAT KERAS 3.1.1. DIAGRAM BLOK SISTEM Gambar 3.1 Diagram Blok Sistem Thermal Chamber Mikrokontroler AT16 berfungsi sebagai penerima input analog dari sensor

Lebih terperinci

CONVERSION. 1. Analog To Digital Converter 2. Digital To Analog Converter 3. Voltage to Frequency 4. Current To Pneumatic

CONVERSION. 1. Analog To Digital Converter 2. Digital To Analog Converter 3. Voltage to Frequency 4. Current To Pneumatic CONVERSION 1. Analog To Digital Converter 2. Digital To Analog Converter 3. Voltage to Frequency 4. Current To Pneumatic Analog To Digital Converter Spesifikasi umum ADC : ADC tersedia dalam kemasan IC

Lebih terperinci

BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN

BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN BAB II TEORI DASAR SISTEM C-V METER PENGUKUR KARAKTERISTIK KAPASITANSI-TEGANGAN 2.1. C-V Meter Karakteristik kapasitansi-tegangan (C-V characteristic) biasa digunakan untuk mengetahui karakteristik suatu

Lebih terperinci

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler BAB II PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F005 2.1 Pengenalan Mikrokontroler Mikroprosesor adalah sebuah proses komputer pada sebuah IC (Intergrated Circuit) yang di dalamnya terdapat aritmatika,

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA 2.1 Produk yang Sejenis 2.1.1 Produk Sejenis Alat ukur tekanan ban yang banyak ditemukan dipasaran dan paling banyak digunakan adalah manometer. Manometer adalah alat ukur tekanan

Lebih terperinci

BAB III HARDWARE & SOFTWARE

BAB III HARDWARE & SOFTWARE BAB III HARDWARE & SOFTWARE Pada bab ini akan di bahas mengenai perancangan alat yang di gunakan dalam tugas akhir kali ini, dalam alat yang di gunakan terdapat 2 rangkaian yang di gunakan, yaitu rangkaian

Lebih terperinci

Thermometer digital dengan DST-R8C dan OP-01 sebagai rangkaian pengkondisi

Thermometer digital dengan DST-R8C dan OP-01 sebagai rangkaian pengkondisi Thermometer digital dengan DST-R8C dan OP-01 sebagai rangkaian pengkondisi Saat ini telah beredar beberapa mikrokontroler yang sudah bulitin ADC ( analog to digital ) salah satunya adalah R5F21134 yang

Lebih terperinci

BAB 2 TINJAUAN TEORITIS. Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya

BAB 2 TINJAUAN TEORITIS. Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya 10 BAB 2 TINJAUAN TEORITIS 2.1 Sensor TGS 2610 2.1.1 Gambaran umum Sensor TGS 2610 merupakan sensor yang umum digunakan untuk mendeteksi adanya kebocoran gas. Sensor ini merupakan suatu semikonduktor oksida-logam,

Lebih terperinci

BAB 2 LANDASAN TEORI

BAB 2 LANDASAN TEORI BAB 2 LANDASAN TEORI 2.1 Arduino Uno Arduino adalah sebuah mikrokontroler yang mudah digunakan, karena menggunakan bahasa pemrograman basic yang menggunakan bahasa C. Arduino memiliki procesor yang besar

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI PLC (Programable Logic Control) adalah kontroler yang dapat diprogram. PLC didesian sebagai alat kontrol dengan banyak jalur input dan output. Pengontrolan dengan menggunakan PLC

Lebih terperinci

BAB III SISTEM PENGUKURAN ARUS & TEGANGAN AC PADA WATTMETER DIGITAL

BAB III SISTEM PENGUKURAN ARUS & TEGANGAN AC PADA WATTMETER DIGITAL 34 BAB III SISTEM PENGUKURAN ARUS & TEGANGAN AC PADA WATTMETER DIGITAL Pada bab ini akan dijelaskan mengenai rancangan desain dan cara-cara kerja dari perangkat keras atau dalam hal ini adalah wattmeter

Lebih terperinci

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto TKC210 - Teknik Interface dan Peripheral Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Referensi: mikrokontroler (AT89S51) mikrokontroler (ATMega32A) Sumber daya

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Menggunakan ADC 16-bit DST-R8C

Menggunakan ADC 16-bit DST-R8C Menggunakan ADC 16-bit DST-R8C Di dalam modul DST-R8C versi 3.0 sudah dilengkapi dengan 16 bit adc ( optinal ) yang dapat di gunakan untuk volmeter digital dengan dengan skala mikro volt ( uv ). Adc yang

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei Adapun tempat

III. METODE PENELITIAN. Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei Adapun tempat III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilaksanakan mulai pada November 2011 hingga Mei 2012. Adapun tempat pelaksanaan penelitian ini adalah di Laboratorium Elektronika Dasar

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem deteksi keberhasilan software QuickMark untuk mendeteksi QRCode pada objek yang bergerak di conveyor. Garis besar pengukuran

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Gambaran Umum Pada bab ini membahas tentang perancangan sistem yang mencakup perangkat keras (hardware) dan perangkat lunak (software). Perangkat keras ini meliputi sensor

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

TEORI ADC (ANALOG TO DIGITAL CONVERTER)

TEORI ADC (ANALOG TO DIGITAL CONVERTER) TEORI ADC (ANALOG TO DIGITAL CONVERTER) dins D E P O K I N S T R U M E N T S ADC ADC = Analog to Digital Converter adalah suatu perangkat yang mengubah suatu data kontinu terhadap waktu (analog) menjadi

Lebih terperinci

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 Ringkasan Pendahuluan Mikrokontroler Mikrokontroler = µp + Memori (RAM & ROM) + I/O Port + Programmable IC Mikrokontroler digunakan sebagai komponen pengendali

Lebih terperinci

BAB III METODE PENELITIAN. Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot

BAB III METODE PENELITIAN. Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot BAB III METODE PENELITIAN 3.1. Model Pengembangan Tujuan dari tugas akhir ini yaitu akan membuat sebuah mobile Robot yang mampu membantu manusia dalam mendeteksi kebocoran gas. Robot ini berperan sebagai

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM BAB III PERANCANGAN DAN REALISASI ALAT 3.1 Pembuatan Modulator 8-QAM Dalam Pembuatan Modulator 8-QAM ini, berdasarkan pada blok diagram modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok

Lebih terperinci

KUIS Matakuliah Mikrokontroler Dosen Pengampu: I Nyoman Kusuma Wardana, M.Sc.

KUIS Matakuliah Mikrokontroler Dosen Pengampu: I Nyoman Kusuma Wardana, M.Sc. Studi Kasus Suatu sistem mekanikal-elektrikal yang merupakan bagian dari suatu sistem robotika yang terkendali mikrokontoler digambarkan sebagai berikut: Sistem robotika tersebut terdiri dari gabungan

Lebih terperinci

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem

BAB III PERANCANGAN. Mikrokontroler ATMEGA Telepon Selular User. Gambar 3.1 Diagram Blok Sistem BAB III PERANCANGAN 3.1 Prnsip Kerja Sistem Sistem yang akan dibangun, secara garis besar terdiri dari sub-sub sistem yang dikelompokan ke dalam blok-blok seperti terlihat pada blok diagram pada gambar

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dibahas mengenai perancangan dan realisasi dari perangkat keras maupun perangkat lunak dari setiap modul yang dipakai pada skripsi ini. 3.1. Perancangan dan

Lebih terperinci

Kelebihan pada sinyal sistem digital Signal digital memiliki kelebihan dibanding signal analog; yang meliputi :

Kelebihan pada sinyal sistem digital Signal digital memiliki kelebihan dibanding signal analog; yang meliputi : A. Sinyal Analog dan Sinyal Digital 1. Sinyal analog Sinyal analog adalah signal yang berupa gelombang elektro magnetik dan bergerak atas dasar fekuensi. Frekuensi adalah jumlah getaran bolak balik sinyal

Lebih terperinci

BAB II TINJAUAN PUSTAKA. Atmel AVR adalah jenis mikrokontroler yang paling sering dipakai dalam

BAB II TINJAUAN PUSTAKA. Atmel AVR adalah jenis mikrokontroler yang paling sering dipakai dalam BAB II TINJAUAN PUSTAKA 2.1 Mikrokontroler ATMega 8535 Atmel AVR adalah jenis mikrokontroler yang paling sering dipakai dalam bidang elektronika dan instrumentasi. Mikrokontroler AVR ini memiliki arsitektur

Lebih terperinci

BAB III KEGIATAN PENELITIAN TERAPAN

BAB III KEGIATAN PENELITIAN TERAPAN BAB III KEGIATAN PENELITIAN TERAPAN Pada bab ini akan dijelaskan langkah-langkah yang akan digunakan dalam menyelesaikan Alat Simulasi Pembangkit Sinyal Jantung, berupa perangkat keras (hardware) dan perangkat

Lebih terperinci