Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Ukuran: px
Mulai penontonan dengan halaman:

Download "Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))"

Transkripsi

1 Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com Peningkatan metodologi bertujuan untuk membantu mendapatkan kunci tujuan perancangan. Peningkatan metodologi tersebut meliputi: memperpendek jadwal pengembangan produk, menurunkan biaya produk, menurunkan biaya pengembangan, meningkatkan fungsi atau kinerja, meningkatkan fleksibilitas produk, dan meningkatkan kemampuan integrasi perangkat keras (hardware) dan perangkat lunak (software). Sebuah perancangan produk sebuah perusahaan dapat berbeda-beda bedasarkan ruang lingkup produk, tipe silikonisasi (FPGA, ASIC, atau deep sub-micron ASIC), dan metodologi desain. Sehingga tidak ada dua pengembang produk yang sama, karena jika dua perusahaan dilibatkan dalam lingkungan pasar yang sama, dapat saja memiliki perancangan produk yang berbeda. Hal tersebut terjadi karena produk dirancang dari pengalaman terdahulu, kinerja sekarang, dan tujuan para pemimpin proyek. Pilihan-pilihan yang dibuat pada poin-poin tertentu, sering kali memiliki dampak yang signifikan pada pendekatan pengembangan dan keberhasilan produk di masa selanjutnya. Di area verifikasi desain, perancang desain akan merancang teknis dan sumberdaya komputasi yang signifikan pada tingkat simulasi sistem, sementara perancang yang lain dapat mengadopsi pendekatan dari prototipe emulasinya. Meskipun keputusan yang diambil akan berbeda-beda, namun masing-masing bisa cocok dengan tujuan utama dan tingkat keahlian perancang. Hal yang perlu diperhatikan adalah tanggung jawab dalam perencanaan dan kepemimpinan dalam transisi ke peralatan dan metode baru. Perancang harus mampu mendefinisikan dan mengatur metodologi dan lingkungan dari rancangan secara spesifik. Perancang dibutuhkan untuk verifikasi dan simulasi, sintesis ASIC dan FPGA untuk menentukan komposisi chip, desain untuk percobaan, ASIC sign-off dan library-nya, computer aided device (CAD), network dan workstation. Perancang harus dapat menjelaskan kriteria keputusan dalam menjabarkan pergeseran metodologi. Misal, kriteria berikut dapat digunakan untuk mengevaluasi keputusan untuk berpindah ke simulasi sintesis dan tingkat sistem. Hal tersebut digunakan untuk mengurangi keseluruhan perancangan produk hingga 50%, mengurangi waktu untuk perputaran ulang dan pengesahan ulang desain sekitar 50%. Kemungkinan pengurangan perputaran ulang yang mahal akan ditetapkan dari 100% menjadi 25%, dan waktu untuk proses debugging hardware

2 prototypes diharapkan terjadi penurunan dari 9 bulan menjadi 3 bulan, serta perlu perencanaan/ pelatihan dalam menggunakan metode baru. Gambar 1 diagram khusus aliran perancangan top-down (Browy dan Gullikson, 1997) Desain Top-Down hanya dapat dikerjakan dengan baik oleh orang yang ahli. Kontes perancangan pernah diadakan oleh grup pengguna EDA, pesertanya 14 perancang. Salah satu

3 contoh desain adalah fungsi counter sederhana, meskipun peserta memiliki banyak pengalaman dan lebih dari 20 tahun, ASIC bergabung dengan mereka, hasilnya bermacam-macam perbedaan besar, diantaranya 60% perubahan besar ukuran dan 100% penundaan. Penggunaan metode dan teknologi baru harus dapat dijalankan secara up-down, perancang harus belajar bagaimana menggunakan metode baru dan teknologi secara efektif. Perkembangan yang terjadi dalam proses desain, dapat berasal dari berbagai faktor, seperti kurangnya pengalaman perancang, perubahan proses yang terus-menerus, dan penambahan alat-alat pengembangan. Kebanyakan perubahan metodologi secara radikal didapat dari perubahan paradigma, yang dapat terjadi dari waktu ke waktu, dan memberikan hasil signifikan pada produktivitas perancang dan pengurangan interval rancangan. Beberapa contoh perubahan paradigm, yaitu perubahan dari bagan ke sintesis Hardware Description Language (HDL), perubahan dari penulisan prototyping di lab ke tingkat eksperimen, perubahan dari penulisan uji vektor untuk ATPG dan pembatasan peralatan untuk pegamatan, perubahan dari penulisan fungsi vektor ke bahasa C dan C++, testbench, pengujian, dan penurunan multi-level (sistem simulasi) secara otomatis. Perancang perangkat keras harus memahami pemilihan tool dan benchmark bebas, vendor ASIC dan FPGA, teknologi pengembangan library, migrasi perancangan, model pengembangan, pemodelan gaya HDL, perancangan transisi top-down, metodologi, dan proses dukungan untuk perancangan, simulasi, sintesis dan pengujian. Perancangan top-down merupakan sebuah cara terkonsep untuk merancang menggunakan bagian geometri pada assembly perancangan. Prinsip dasar perancangan top-down merupakan langkah awal penerapan rancangan. Prinsip ini mempengaruhi hasil dari pengembangan metode perancangan. Pendekatan perancangan top-down mempunyai prinsip, diantaranya : Penggunaan HDL (hardware description language) atau bahasa pemograman tingkat tinggi lainnya untuk membuat model sistem dan subsistem, maupun inti yang bisa digunakan ulang. Penggunaan schematic adalah teknologi rancangan yang paling tepat untuk pendekatan perancangan bottom-up. Verilog dan VHDL menawarkan pemisahan tingkat perancangan yang besar dan rumit, sehingga akan lebih mudah untuk dimengerti. HDL mempunyai banyak pemisahan tingkat perancangan dari analisis, perilaku, register transfer logic (RTL), dan gambaran tingkat gerbang. Bahasa pemograman tingkat tinggi seperti C atau teknologi rancangan tingkat tinggi, mungkin yang paling tepat untuk sistem model, tetapi alat sintesis memerlukan tampilan RTL dalam Verilog atau VHDL. Menyelesaikan rancangan lebih cepat dengan mengembangkan tingkat verifikasi sistem terlebih dahulu. Sistem verifikasi mencakup satu set pengujian, model, dan rincian. Model dan pengujian merupakan gambaran utama yang bisa digunakan oleh perancang untuk memilih perancangan komponen. Pengujian dilakukan untuk memastikan perancang dalam menguji semua aspek kritis dari rancangan yang dikembangkan. Verifikasi bertujuan untuk mempermudah perancang menyelesaikan sistem sebelum diprogramkan, dan untuk menguji pada tingkat RTL, tingkat gerbang, dan tingkat campuran.

4 Otomatisasi pemrograman rancangan secara sintesis dalam optimalisasi teknologi, membuat perancang perlu menyelidiki berbagai macam cara pemrograman dari perancangan RTL sebelum tergantung vendor tertentu. Kebebasan yang disediakan oleh alat sintesis, sangat penting untuk mencapai kinerja dan daya produksi perancang rancangan FPGA dan ASIC berskala besar. Mengembangkan desain untuk pengujian strategi karena rancangan yang rumit menjadi tantangan yang berat bagi perancang. Banyaknya gerbang pada rasio pin dan kepadatan hubungan antar tingkat board, membuat alat board perancang tradisional menjadi tidak mungkin digunakan lagi. Salah satu cara, yaitu dengan mengembangkan strategi pengujian terlebih dahulu dan mengijinkan syarat pengujian untuk mempengaruhi perancangan menggunakan JTAG, scan, BIST, dan teknik logika digital lainnya. Menyediakan aliran data konstan antara logika dan fisik proses perancangan untuk IC submicron dan PCB berkecepatan tinggi. Secara logika dan fisik, perancangan ASIC sudah tidak lagi memisahkan proses. Kepadatan chip dan kinerjanya, setelah perancangan fisik seringkali tidak sesuai dengan perkiraan logika perancangan, karena waktu tunda, floorpanning datapath, core, RAM/ROM, dan distribusi sistem pewaktuan. Optimasi antara perancangan fisik dan logika dibatasi perancangan waktu yang dibutuhkan. Pemilihan chip dalam analisa pewaktuan dan optimalisasinya, juga harus ditentukan oleh model pewaktuan deep submicron yang akurat. Mengelola desain data secara efektif dan menggambarkan prosedur perancangan sederhana sebagai upaya iterasi perancangan per bagian. Jumlah data perancangan yang dihasilkan sangat besar pada proses penguraian rancangan, pengujiannya, batasan dan analisa implementasinya. Melacak status berbagai komponen dan memastikan integritas dari data perancangan di semua tahap pengembangan, menjadikan suatu strategi pengembangan perancangan data sangat penting. Unsur inti proses perancangan top-down melibatkan sistem model HDL dan komponen ASIC, suatu verifikasi yang menyeluruh, mensintesis logika, membatasi desain logika/fisik proses iterasi perancangan ASIC, proses pengujian, dan suatu rancangan pendukung data manajemen dan kendali kualitas. Gambar 1 menunjukkan konsep top-down proses pengembangan sesuai karakteristik produk dan proyek. Gambar 1 memperlihatkan bahwa aliran perancangan dimulai dengan pengembangan dari model-model sistem dan verifikasi (perancangan sistem tingkat tinggi). Perancang dapat memulai terlebih dahulu pada pengembangan sebuah uji strategi dan menggunakan library dari vendor. Dapat juga menggunakan up-front, yang mencakup pembagian secara lengkap dengan memulai implementasi dari masing masing blok secara paralel. Bisa juga memodelkan pada tingkat RTL, dengan cara membutuhkan verifikasi antara konteks dari sistem. Setelah sintesis dan optimisasi, implementasi tingkat gerbang harus terverifikasi antar konteks sistem. Integrasi chip dan sign-off menerapkan blok perancangan untuk verifikasi fungsional dan pewaktuan, pengecekan aturan perancangan, membentuk pola dan sign-off vendor ASIC.

5 Gambar 2 Implementasi alat-alat pada blok dan tingkat chip (Browy dan Gullikson, 1997) Bagian dari proses perancangan ini adalah komputasi-intensif, yang menuntut simulasi secara lengkap pada berbagai tingkat dari abstraksi. Uji strategi memberikan definisi yang sesuai, teknik regresi automata dan penggunaan sumber daya jaringan yang efisien untuk membantu menemukan solusi perancangan. Semua tahapan dari proses perancangan, yang meliputi kenaikan kerapatan perancangan, floorplanning yang akurat, atau pertimbangan dari seluruh efek perancangan, seharusnya menuju ke aspek fisik dari perancangan dan proses. Pada tingkat tinggi, front-end floorplanner dapat membantu untuk memperoleh kondisi batas yang akurat, model kabel dan perhitungan pewaktuan untuk perancangan blok. Selama implementasi blok, alat sintesis silikon akan mensintesis dan mengoptimisasi melalui efek fisik pada pewaktuan dan

6 pembebanan. Alat floorplanning digunakan selama integrasi tingkat chip untuk memastikan bahwa rancangan dapat digunakan meneruskan sinyal yang sesuai. Daftar Pustaka Browy, C., Gullikson G., Indovina M., 1997, A Top-Down Approach Design to IC Design, Free published. Cai, L., Kritzinger, P., Olivares, M., dan Gajski, D., 2002, Top-Down System Level Design Methodology Using SpecC, VCC and SystemC, Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition (DATE 02), IEEE Computer Society. Pratt, G. and Jarett, J., 2001, Top-Down Design Methods Bring Back The Useful Schematic Diagram, Mentor Graphics Corp. Stan, M., Cabe, A., Ghosh, S., Qi Z., 2007, Teaching Top-Down ASIC/SoC Design vs Bottom-Up Custom VLSI, IEEE International Conference on Microelectronic Systems Education (MSE 07), IEEE Computer Society.

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

RANGKUMAN SIM BAB 13 Mengembangkan Sistem Informasi (Building Information Systems)

RANGKUMAN SIM BAB 13 Mengembangkan Sistem Informasi (Building Information Systems) RANGKUMAN SIM BAB 13 Mengembangkan Sistem Informasi (Building Information Systems) A. SISTEM SEBAGAI PERUBAHAN YANG DIRENCANAKAN DALAM PERUSAHAAN PENGEMBANGAN SISTEM DAN PERUBAHAN DALAM PERUSAHAAN 4 Bentuk

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University METODOLOGI AAA Eri Prasetyo Wibowo Gunadarma University APA ITU METODOLOGI AAA - "AAA" merupakan kependekan dari Algorithm Architecture Adequation - Adequation sendiri berarti sebuah mapping yang efisien

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

SAP PRODUCT LIFECYCLE MANAGEMENT

SAP PRODUCT LIFECYCLE MANAGEMENT Karya Ilmiah E-Business SAP PRODUCT LIFECYCLE MANAGEMENT Manajemen Siklus Hidup Produk SAP Disusun oleh : Nama : Achmad Mustagfiri NIM : 09.11.2962 Kelas : 09-S1TI-06 SEKOLAH TINGGI MANAJEMEN INFORMATIKA

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Pengembangan Sistem Informasi

Pengembangan Sistem Informasi Pengembangan Sistem Informasi Tujuan Menjelaskan definisi pengembangan sistem dan fase dan kegiatan pada system development lifecycle (SDLC) Menjelaskan perbedaan antara model, teknik, dan metodologi pengembangan

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON Oleh : Agus Mulyana 23207025 MAGISTER TEKNIK ELEKTRO SEKOLAH TINGGI ELEKTRO DAN INFORMATIKA INSTITUT TEKNOLOGI

Lebih terperinci

Pendekatan-Pendekatan Pengembangan Sistem Hanif Al Fatta M.kom

Pendekatan-Pendekatan Pengembangan Sistem Hanif Al Fatta M.kom Pendekatan-Pendekatan Pengembangan Sistem Hanif Al Fatta M.kom Abstraks Pengembangan sistem telah berkembang sesuai kebutuhan dan kecepatan pengembangan sistem yang diinginkan oleh pengembang sistem atau

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

BAB 4 PELAKSANAAN PENGUJIAN

BAB 4 PELAKSANAAN PENGUJIAN BAB 4 PELAKSANAAN PENGUJIAN Strategi pengujian dilakukan untuk mengintegrasikan metode perancangan kasus pengujian software ke dalam langkah-langkah terencana yang tersusun rapi sehingga menghasilkan konstruksi

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Istilah cryptocurrency akhir-akhir ini (setelah kemunculan bitcoin pada tahun 2009) secara terus menerus menjadi lebih banyak dibicarakan oleh banyak kalangan. Dimulai

Lebih terperinci

o Petunjuk Tantangan dalam disain Mahasiswa mengetahui o Matrik Disain tantangan dalam disain

o Petunjuk Tantangan dalam disain Mahasiswa mengetahui o Matrik Disain tantangan dalam disain SATUAN ACARA PERKULIAHAN MATA KULIAH SISTEM TERTANAM TEKNIK KOMPUTER Minggu Pokok Bahasan dan TIU Sub Pokok Bahasan dan Sasaran Belajar Cara Media Tugas Ref ke Pengajaran 1 PENDAHULUAN SISTEM Tujuan memahami

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) KARYA PENELITIAN PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language) Oleh : Meicsy E. I. Najoan, ST. MT. * Abstrak. Penelitian

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

III. METODOLOGI PENELITIAN. : Laboratorium Teknik Kendali Teknik Elektro Jurusan. Teknik Elektro Universitas Lampung

III. METODOLOGI PENELITIAN. : Laboratorium Teknik Kendali Teknik Elektro Jurusan. Teknik Elektro Universitas Lampung III. METODOLOGI PENELITIAN A. Waktu dan Tempat Penelitian Waktu : November 2011 Maret 2013 Tempat : Laboratorium Teknik Kendali Teknik Elektro Jurusan Teknik Elektro Universitas Lampung B. Alat dan Bahan

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Metode pengumpulan data yang digunakan pada penelitian ini berupa studi

BAB III METODOLOGI PENELITIAN. Metode pengumpulan data yang digunakan pada penelitian ini berupa studi BAB III METODOLOGI PENELITIAN 1.1 METODE PENGUMPULAN DATA Metode pengumpulan data yang digunakan pada penelitian ini berupa studi literatur berupa mempelajari hal-hal yang berhubungan dengan pembahasan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

Sistem Informasi Manajemen dan Bisnis

Sistem Informasi Manajemen dan Bisnis Sistem Informasi Manajemen dan Bisnis Danny Kriestanto, S.Kom., M.Eng Pengembangan SIM Pengembangan SIM secara konvensional adalah dengan menggunakan SDL (System Development Life ycle). Metode-metode lain

Lebih terperinci

BAB I PENDAHULUAN. adalah kamera CCTV (Closed Circuit Television). Perangkat CCTV dapat

BAB I PENDAHULUAN. adalah kamera CCTV (Closed Circuit Television). Perangkat CCTV dapat BAB I PENDAHULUAN I.1. Latar Belakang Tindak kejahatan yang marak saat ini menuntut diciptakan sesuatu sistem keamanan yang dapat membantu memantau dan mengawasi segala sesuatu yang berharga. Salah satu

Lebih terperinci

Analisis dan Perancangan Sistem Hanif Al Fatta M.kom

Analisis dan Perancangan Sistem Hanif Al Fatta M.kom Analisis dan Perancangan Sistem Hanif Al Fatta M.kom Abstraks System informasi telah menjadi bagian yang tak terpisahkan dari kegiatan bisnis suatu perusahaan atau organisasi modern. Sehingga system informasi

Lebih terperinci

Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Teknik Digital Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Pendahuluan Perancangan H/W (1) Mengapa perancangan

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

BAB I PENDAHULUAN. secara luas untuk berbagai keperluan kendali proses adalah Programmable Logic. memadai mengenai PLC dan dasar penggunaannya.

BAB I PENDAHULUAN. secara luas untuk berbagai keperluan kendali proses adalah Programmable Logic. memadai mengenai PLC dan dasar penggunaannya. BAB I PENDAHULUAN 1 Latar Belakang Pada masa sekarang, sistem kendali di dunia industri sudah dan masih terus mengalami perkembangan yang sangat pesat. Salah satu piranti yang digunakan secara luas untuk

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection...

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection... DAFTAR ISI HALAMAN PENGESAHAN...iii PERNYATAAN... iv MOTO DAN PERSEMBAHAN... v PRAKATA... vi DAFTAR ISI... vii DAFTAR GAMBAR... xi DAFTAR TABEL... xiv PENGERTIAN DAN SINGKATAN... xv INTISARI... xviii ABSTRACT...

Lebih terperinci

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

Pengembangan Sistem Informasi

Pengembangan Sistem Informasi Pengembangan Sistem Informasi Tujuan Menjelaskan definisi pengembangan sistem dan fase dan kegiatan pada system development lifecycle (SDLC) Menjelaskan perbedaan antara model, teknik, dan metodologi pengembangan

Lebih terperinci

DASAR-DASAR PERANCANGAN PERANGKAT LUNAK

DASAR-DASAR PERANCANGAN PERANGKAT LUNAK Perancangan Perangkat Lunak DASAR-DASAR PERANCANGAN PERANGKAT LUNAK Karmilasari 2 Metodologi Pengembangan Perangkat Lunak Merupakan kerangka yang digunakan untuk membuat struktur, perencanaan dan pengendalian

Lebih terperinci

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini BAB I 1.PENDAHULUAN 1.1 Latar Belakang Kemajuan di era informasi selalu diimbangi dengan perkembangan teknologi. Perkembangan teknologi yang paling mudah untuk diamati adalah perkembangan teknologi komputer.

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS Sudimanto Sekolah Tinggi Manajemen Informatika dan computer LIKMI Jl. Ir. H. Juanda 96 Bandung 40132 E-mail : sudianen@yahoo.com

Lebih terperinci

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan Pengertian IC TTL Dan CMOS 9 IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan dari ratusan atau ribuan komponen-komponen lain. Bentuk IC berupa kepingan silikon

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

1 Deskripsi Perkuliahan

1 Deskripsi Perkuliahan Kontrak Perkuliahan Mata Kuliah : Sistem Digital Kode / SKS : TSK 205 / 2 SKS Pengajar : Eko Didik Widianto, ST., MT. Jadwal : a) Kamis, jam 09.30 11.10, Ruang D304 (Kelas A) b) Selasa, jam 07.50 09.30,

Lebih terperinci

TOOL PENGUJIAN OVERVIEW

TOOL PENGUJIAN OVERVIEW TOOL PENGUJIAN Dalam duapuluh tahun terakhir sebagian besar usaha pengembangan dikeluarkan dalam prosesproses pengembangan yang mengharuskan adanya aktifitas pengujian terutama secara manual dan seringkali

Lebih terperinci

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan PENGENALAN SISTEM MIKROPROSESOR Outline : Mikroprosesor ROM RAM Piranti I/O Piranti Input Tidak "programable Piranti Output Tidak "programable Programable I/O Decoder sistem mikroprosesor berdasarkan piranti-piranti

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB I PENDAHULUAN. Salah satu program TNI dalam meningkatkan jumlah perajurit TNI yaitu

BAB I PENDAHULUAN. Salah satu program TNI dalam meningkatkan jumlah perajurit TNI yaitu BAB I PENDAHULUAN I.1. Latar Belakang Tentara Nasional Indonesia atau yang di singkat TNI merupakan salah satu aset yang terbesar bagi Negara kesatuan republik Indonesia. TNI di bentuk untuk Mempertahankan

Lebih terperinci

MONITORING DAN KONTROL DAYA KAMAR KOS MELALUI JARINGAN INTERNET (SOFTWARE)

MONITORING DAN KONTROL DAYA KAMAR KOS MELALUI JARINGAN INTERNET (SOFTWARE) MONITORING DAN KONTROL DAYA KAMAR KOS MELALUI JARINGAN INTERNET (SOFTWARE) TUGAS AKHIR Disusun Oleh : Yuliono 201110130311018 JURUSAN ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH MALANG 2016 i LEMBAR

Lebih terperinci

MEMBANGUN SISTEM INFORMASI. Defri Kurniawan, M.Kom

MEMBANGUN SISTEM INFORMASI. Defri Kurniawan, M.Kom MEMBANGUN SISTEM INFORMASI Defri Kurniawan, M.Kom Learning Objectives Menunjukkan bagaimana membangun sistem baru yang menghasilkan perubahan organisasi. Mengidentifikasi dan menggambarkan kegiatan inti

Lebih terperinci

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan BAB 1 PENDAHULUAN 1.1 Latar Belakang Pada waktu sekarang ini teknologi mikroprosesor terus berkembang sejalan dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan video juga

Lebih terperinci

SISTEM BILANGAN DIGITAL

SISTEM BILANGAN DIGITAL SISTEM BILANGAN DIGITAL Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com 1. Sistem bilangan

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

Bab 1 Pendahuluan 1.1 Latar Belakang Tuntutan Sistem Produksi Maju

Bab 1 Pendahuluan 1.1 Latar Belakang Tuntutan Sistem Produksi Maju Bab 1 Pendahuluan 1.1 Latar Belakang 1.1.1 Tuntutan Sistem Produksi Maju Perkembangan teknologi dan kebudayaan manusia menuntut perubahan sistem produksi dalam dunia manufaktur. Kebutuhan produk yang semakin

Lebih terperinci

Hanif Fakhrurroja, MT

Hanif Fakhrurroja, MT Pertemuan 11: Pengembangan Sistem Informasi Hanif Fakhrurroja, MT PIKSI GANESHA, 2013 Hanif Fakhrurroja @hanifoza hanifoza@gmail.com Metodologi Pengembangan Sistem System Development Life Cycle (SDLC)

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

BAB I PENDAHULUAN. kita sehari-hari. Aplikasi-aplikasi berbasis prosesor sudah sangat banyak tersedia

BAB I PENDAHULUAN. kita sehari-hari. Aplikasi-aplikasi berbasis prosesor sudah sangat banyak tersedia BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Dewasa ini, penggunaan teknologi mikroprosesor khususnya embedded microprocessor telah menjadi hal yang sangat umum dijumpai dalam kehidupan kita sehari-hari.

Lebih terperinci

BAB I PENDAHULUAN. ditengarai mampu mendorong melihat kejadian-kejadian di masa yang akan

BAB I PENDAHULUAN. ditengarai mampu mendorong melihat kejadian-kejadian di masa yang akan BAB I PENDAHULUAN I.1. Latar Belakang Anak-anak indigo adalah anak yang memiliki kemampuan lebih dibandingkan anak-anak lainnya serta memiliki indra keenam. Secara khusus anak-anak ini memiliki aura warna

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8.

DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8. DESAIN RANCANGAN ALGORITMA DAN ARSITEKTUR DARI MODEL RANCANGAN PROSESOR TERTANAM HEYRMAN MENGGUNAKAN PERANGKAT LUNAK SYNDEX6.8.5 CAD Sri Cahyo Kasihono, 21104992 Mahasiswa Sarjana Strata Satu (S1) Jurusan

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Dalam siklus proses produksi, fixturing merupakan salah satu tahapan penting dalam proses manufaktur yang berfungsi untuk mendukung, menempatkan, dan menahan workpiece

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

STRATEGI PENGEMBANGAN PERANGKAT LUNAK SI Oleh : Hanif Al Fatta

STRATEGI PENGEMBANGAN PERANGKAT LUNAK SI Oleh : Hanif Al Fatta STRATEGI PENGEMBANGAN PERANGKAT LUNAK SI Oleh : Hanif Al Fatta Abstrak Tahapan pengembangan proses model dan data model seringkali disebut sebagai tahapan desain logikal (logical design). Alasannya karena

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci