Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Ukuran: px
Mulai penontonan dengan halaman:

Download "Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)"

Transkripsi

1 Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com I. Pendahuluan Perancang telah lama memodelkan rangkaian elektronik pada tingkat transistor. Namun, ketika teknologi semikonduktor telah berkembang pesat dan integrasi telah meningkat, perancang mulai bergerak maju pada sebuah level baru dari perancangan abstrak, yaitu tingkat gerbang. Teknologi dan kompleksitas pada tingkat gerbang pun masih berkembang, sampai perancang masuk pada ranah hardware description language (HDL), yang bertujuan untuk mengurangi kompleksitas perancangan menjadi skala yang bisa dipahami manusia. Kenaikan tingkat dari perancangan abstrak adalah salah satu jalan untuk menemukan rancangan yang lebih lengkap, dan sekarang, perancangan abstrak dari teknologi sampai pada perancangan tingkat sistem. Model perancangan tingkat sistem membantu perancang menghadapi masalah yang rumit. Metode design capture memberikan kesempatan kepada perancang untuk bereksperimen, mengembangkan, mengoptimalkan, dan memverifikasi sebelum mengimplementasikan sebuah rancangan pada FPGA atau ASIC. 1. Logic tambahan Kelengkapan dari perancangan yang meningkat membuat perancang mencoba merancang logic yang sesuai, dengan membuat celah produktivitas untuk spesifikasi dan verifikasi fungsional. 2. Intellectual property (IP) Penyesuaian antara waktu dengan tekanan pasar, banyak perancang memberikan rancangan komponen mereka ke perusahaan lain. Jaminan perlindungan IP sangat diperhitungkan, sehingga perusahaan ingin agar perancang model komponen menyembunyikan implementasi rinci rancangan, saat perancang pengembang model perlu verifikasi fungsional dan waktu dari sistem. 3. Daya guna rancangan

2 Perancang dapat menggunakan metode design capture, sebagai parameter model untuk menaikkan daya guna komponen. II. Tujuan Design Capture Pendekatan perancangan top-down, perancang membuat model dari tingkat terendah dari perancangan abstraksi selama proses rancangan ke tingkat pembuatan model sistem, yang berada pada tingkat tertinggi pada abstraksi. Ketika konsep rancangan atau sistem telah divalidasi, perancang membuat implementasi model yang direpresentasikan sebagai model hardware description language (HDL) sebagai bagian dari perancangan selanjutnya pada tingkat yang lebih rendah dari abstraksi. Model HDL dapat ditulis dalam tingkat yang lebih rendah dari tingkat abstraksi, yaitu watak (behavioral), fungsional dan struktural. Secara umum, representasi tingkat ini tidak dapat disintesis, dapat disintesis, dan tingkat gerbang berturut turut. Perancang menggunakan model behavioral, ketika memodelkan blok yang akan diimplementasikan menggunakan metode lain dan sintesis. Perancang juga menggunakan model behavioral sebagai referensi dalam verifikasi fungsional. Di bawah tingkat behavioral adalah tingkat register transfer logic (RTL), atau tingkat fungsional. Model RTL dapat dituliskan menggunakan pemodelan yang sinkron, sehingga rancangan dapat diimplementasikan menggunakan synthesis tool. Kadang kala, metode terbaik bagian perancangan adalah langsung menempatkan komponen yang sudah ada (tingkat gerbang). Model pada tingkat gerbang biasanya dibuat secara otomatis oleh peralatan sintesis atau data path generator. Perancang mungkin masih memilih untuk membuat implementasi tingkat gerbang secara manual menggunakan schematic generator. Fase sebelum terbentuk modul komponen adalah pemodelan implementasi tingkat gerbang yang terintegrasi dalam bentuk model komponen sistem, dan jika model ini telah diverifikasi, maka rancangan telah siap untuk prototipe perangkat keras (hardware). Selama proses rancangan, tingkat abstraksi akan berubah. Beberapa model sistem ini mungkin merupakan aspek kunci pemodelan dari seluruh sistem, sebagai unit sendiri, sedangkan lainnya mungkin merupakan bagian sistem dalam subsistem. Dalam implementasi model, tingkat RTL dan tingkat gerbang, subsistem lebih jauh terpisah dalam subblok yang lebih kecil. Gambar 1 menunjukkan bagian pemodelan rancangan terkait dengan jenis model.

3 Gambar 1 Bagian pemodelan rancangan terkait dengan jenis model III. Model Rencana Pengembangan Pengembangan model dimulai dengan sistem rancangan tingkat tinggi. Selama perancangan tingkat tinggi, perancang sistem memutuskan model apa yang akan dikembangkan sesuai dengan jumlah kebutuhan untuk implementasi dan verifikasi fungsi sistem. Informasi ini diambil sebagai bagian dalam rencana pengembangan model, menetapkan model dan tipenya. Ada dua langkah utama untuk menggerakkan pengembangan model perancangan sistem, yaitu dekomposisi sistem dan pembagian fungsi. Dekomposisi sistem menentukan sumber sistem tingkat tinggi, termasuk prosessor, bus, dan memori. Sedangkan, pembagian fungsi digunakan untuk mengarahkan alokasi dari fungsi sistem ke satu atau lebih IC, sehingga batasan fisik dipertimbangkan secara penuh. Faktor yang sering berpengaruh pada pembagian fungsi, adalah aliran data sistem utama dan bus, clock, software pengendali register, arsitektur memori, unit pemrosesan dan penghubung datapath, paket penghitung pin, paket ukuran, dan power trade-off. Pembagian

4 fungsi sering menghasilkan arsitektur untuk optimalisasi mikroarsitektur. Sehingga, antarmuka unit fungsional termasuk format data dan enkoding telah diputuskan. Sebagai perancang FPGA dan ASIC, perlu memahami spesifikasi tingkat sistem dan pengembangan model. Beberapa perbedaan tipe model akan dikembangkan diluar pengembangan produk. Tabel I Perbedaan spesifikasi tingkat sistem (Browy dkk., 1997) IV. Pemodelan Sistem Capture merupakan kunci dalam perancangan untuk mengukur kinerja sistem. Hal ini penting untuk mengetahui kemampuan sistem yang dirancang untuk dapat memperkirakan beban yang bisa ditangani. Capture juga merupakan kunci algoritma sistem, pembuatan model behavioral seluruh sistem, yang memungkinkan untuk membuat algoritma baru, optimalisasi algoritma untuk sebuah konteks baru, atau memilih algoritma terbaik. Penentuan dan optimalisasi arsitektur sistem, modul, dan paket, merupakan fase perancangan dalam berbagai subsistem, algoritma antara hardware dan software. a. Model Behavioral HDL

5 Tingkat behavioral HDL dari abstraksi, mempunyai tujuan design capture, yaitu memisahkan bagian rancangan untuk implementasi lanjut, perancang memodelkan behavioral HDL sebagai kotak hitam dalam sintesis. Misalkan, seorang perancang FPGA atau ASIC mungkin menggunakan behavioral model dalam rancangannya menggunakan RAM atau ROM untuk diimplementasikan oleh module generator, atau perancang menggunakan model data path sebagai behavioral model untuk diimplementasikan oleh data path compiler. Perancang juga menggunakan behavioral model sebagai bagian modul komponen yang tidak diimplementasikan, untuk membantu verifikasi fungsional. Perancang juga menuliskan fungsional rancangan dari register transfer level (RTL) untuk sintesis. Perancang membuat model campuran antara model behavioral dan analitik. Model campuran ini lebih dikenal sebagai model analitik. Model ini digunakan ketika kecepatan proses sistem lebih rendah dari model uji. Model analitik dari sub-sistem mempunyai kemungkinan fungsi distribusi dan model antrian, yang kecepatan respon proses sistem sesuai parameter sistem tingkat tinggi. Design capture dalam fase implementasi modul bertujuan membuat rancangan yang dapat disintesis. Sintesis tool membutuhkan model rancangan RTL dibandingkan model sistem karena didalamnya tidak hanya terdapat algoritma, tetapi juga behavioral dari rangkaian elektronika pada setiap cycle clock. Synthesis tool membutuhkan HDL sebagai masukan, dan deskripsi harus mengikuti model sintesis, agar tool dapat memperbaiki behavior yang dimodelkan. Rancangan pada tingkat tinggi dari abstraksi digunakan untuk meningkatkan implementasi pewaktuan, dan synthesis tool dapat diimplementasikan pada tingkat gerbang deskripsi HDL yang dikenal sebagai RTL. Sehingga, untuk mendapatkan keuntungan penuh dari kemudahan penyedia synthesis tool, lebih baik memodelkan perancangan tingkat tinggi dari abstraksi pada tingkat state machine, bukan pada tingkat flip-flop. Partisi blok subsistem besar dapat dilakukan menggunakan synthesis tool terbaik menggunakan sedikitnya 5000 gerbang. Masalah yang harus dipertimbangkan saat partisi adalah clock, data path dan control logic, dan kebutuhan DFT. Optimalisasi inti blok tingkat rancangan seharusnya menggunakan rancangan sebelumnya dan pengoptimalisasian corei. Pendekatan ini mengurangi waktu pengembangan perancangan dan menaikkan kemampuan kualitas rancangan.

6 b. Model Struktural Pada tingkat struktural dalam abstraksi, tujuan design capture adalah untuk membuat modul-modul rancangan yang bersifat tidak dapat disintesis. Bentuk modul yang digunakan pada tingkat struktural ini meliputi uji struktur, tri-state bus driver, logik asinkron, dan I/O pad. c. Model Sistem Model sistem dapat dibagi menjadi beberapa katagori, diantaranya : Sistem spesifikasi, bermain penting dalam pendefinisian sistem yang diperlukan. Model analitika, menganalisa kinerja sistem. Model behavioral, menggali perbedaan algoritma dan partisi untuk sistem kendali dan proses Model campuran, mengkombinasikan kinerja pemodelan dan pengembangan algoritma dalam satu set model. Blok diagram, merepresentasikan partisi sistem. d. Spesifikasi Sistem Sistem tingkat rekayasa mengkhususkan pada dokumen sistem yang diperlukan. Spesifikasi ini, tidak hanya sebuah dokumen yang dapat dirangkum, tetapi juga termasuk model sistem yang dapat dieksekusi menggunakan verifikasi fungsional dari simulasi sistem tingkat HDL. Garis besar spesifikasi, terdiri dari : Fungsionalitas, merupakan bentuk pengoperasian subsistem dan merupakan garis besar umum pada setiap blok logik utama dan bus, dari FPGA dan ASIC. Daya guna, menjelaskan tentang harapan kinerja suatu sistem atau sub-sistem, dan biasanya diukur dari hubungan antara latensi dan kerja sistem per detik. Hal ini berguna untuk menentukan kinerja sistem yang diharapkan, sehingga perancang dapat menentukan tujuan khusus dan optimalisasi sistem, dan jika perlu perancang mengatur daya guna sistem tersebut. Banyaknya gerbang logika yang diperlukan dalam perancangan sistem. Banyaknya sumber tenaga yang diperlukan dalam perancangan sistem.

7 Fungsi blok, menjelaskan fungsi blok dan kerja blok di luar yang diharapkan dalam perancangan, masukan dan keluaran setiap blok, termasuk bentuk diagram waktu menggambarkan kualitas blok tersebut. Makro blok, merupakan gambaran umum bentuk blok keseluruhan perancangan suatu sistem atau sub-sistem. Uji, merupakan proses peninjauan dan pengujian fungsi agar didapatkan kecepatan fungsi yang dapat bekerja dengan baik. Daftar Pustaka Browy, C., Gullikson G., Indovina M., 1997, A Top-Down Approach Design to IC Design, Free published. Pratt, G. and Jarett, J., 2001, Top-Down Design Methods Bring Back The Useful Schematic Diagram, Mentor Graphics Corp. Stan, M., Cabe, A., Ghosh, S., Qi Z., 2007, Teaching Top-Down ASIC/SoC Design vs Bottom-Up Custom VLSI, IEEE International Conference on Microelectronic Systems Education (MSE 07)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

ABSTRAKSI DEKOMPOSISI PENGUJIAN Dalam REKAYASA PERANGKAT LUNAK

ABSTRAKSI DEKOMPOSISI PENGUJIAN Dalam REKAYASA PERANGKAT LUNAK Mata Kuliah : Perancangan Perangkat Lunak LANJUT Dosen : Dr. Karmilasari ABSTRAKSI DEKOMPOSISI PENGUJIAN Dalam REKAYASA PERANGKAT LUNAK Program Pasca Sarjana Universitas Gunadarma REKAYASA PERANGKAT LUNAK

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University

METODOLOGI AAA. Eri Prasetyo Wibowo Gunadarma University METODOLOGI AAA Eri Prasetyo Wibowo Gunadarma University APA ITU METODOLOGI AAA - "AAA" merupakan kependekan dari Algorithm Architecture Adequation - Adequation sendiri berarti sebuah mapping yang efisien

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2)

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Pokok Bahasan Pendahuluan Arsitektur

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang

BAB 1 PENDAHULUAN. 1.1 Latar Belakang BAB 1 PENDAHULUAN 1.1 Latar Belakang Perkembangan teknologi satelit begitu cepat akhir-akhir ini. Saat ini IT Telkom sedang mengembangkan satelit nano atau nanosatelit untuk keperluan riset. Nanosatelit

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Istilah cryptocurrency akhir-akhir ini (setelah kemunculan bitcoin pada tahun 2009) secara terus menerus menjadi lebih banyak dibicarakan oleh banyak kalangan. Dimulai

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB I PENDAHULUAN. komponen yang dapat menghitung, mengingat dan mengambil pilihan. dapat digantikan dengan sebuah mikrokontroler.

BAB I PENDAHULUAN. komponen yang dapat menghitung, mengingat dan mengambil pilihan. dapat digantikan dengan sebuah mikrokontroler. BAB I PENDAHULUAN 1.1. Latar Belakang Masalah Pada zaman sekarang ini dibutuhkan suatu peralatan yang dapat mempermudah pekerjaan manusia dan bekerja secara otomatis. Untuk merancang suatu peralatan yang

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Antarmuka CPU. TSK304 - Teknik Interface dan Peripheral. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TSK304 - Teknik Interface dan Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Dasar-dasar elektronik dan kebutuhan desain mikroprosesor Interkoneksi CPU, memori

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer DCH1B3 Konfigurasi Perangkat Keras Komputer Tim Dosen KPKK Kelompok Keahlian ENS Sistem Komputer 1 8/28/2016 Berbagai Macam Bentuk Komputer 2 8/28/2016 1 Mengapa Belajar Organisasi dan Arsitektur Komputer?

Lebih terperinci

Bab 6 PERANCANGAN PERANGKAT LUNAK

Bab 6 PERANCANGAN PERANGKAT LUNAK Bab 6 PERANCANGAN PERANGKAT LUNAK Perancangan adalah proses untuk mengaplikasikan berbagai macam teknik dan prinsip untuk tujuan pendefenisian secara rinci suatu perangkat,proses atau sistem agar dapat

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILLINX Nama :Ayu Astariatun NPM :16409291 Jurusan :Teknik Elektro Pembimbing :Dr. Ir. Hartono Siswono, MT Latar Belakang Masalah Vending machine adalah

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

BAB I PENDAHULUAN. bahwa catur adalah permainan yang digemari oleh segala usia. kendala bagi seseorang yang tergolong awam dalam catur.

BAB I PENDAHULUAN. bahwa catur adalah permainan yang digemari oleh segala usia. kendala bagi seseorang yang tergolong awam dalam catur. BAB I PENDAHULUAN 1.1. Latar Belakang Masalah Permainan yang sifatnya melatih kemampuan berpikir telah berkembang hingga saat ini. Baik permainan tradisional atau permainan modern memiliki karakteristik

Lebih terperinci

Berbagai Macam Bentuk Komputer

Berbagai Macam Bentuk Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Pertemuan 3: Pengantar Arsitektur Komputer Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

Introduction to Computer Architecture. Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 01 --

Introduction to Computer Architecture. Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 01 -- Introduction to Computer Architecture Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 01 -- This presentation is revised by @hazlindaaziz, STMIK, 2014 Acknowledgement

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP)

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Mata Kuliah : Arsitektur Komputer Bobot Mata Kuliah : 3 Sks GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Deskripsi Mata Kuliah : kepada mahasiswa secara mendalam mengenai konsep-konsep dari fungsi dan struktur

Lebih terperinci

ELEMENT OF BUS DESIGN. Pengertian Sistem bus adalah Penghubung bagi keseluruhan komponen computer dalam menjalankan tugasnya.

ELEMENT OF BUS DESIGN. Pengertian Sistem bus adalah Penghubung bagi keseluruhan komponen computer dalam menjalankan tugasnya. Kelompok : 7 Anggota : Abdun Wijaya Dina Mutiara Fachri Ricki Iqbal Priyono ELEMENT OF BUS DESIGN Pengertian Sistem bus adalah Penghubung bagi keseluruhan komponen computer dalam menjalankan tugasnya.

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

Tujuan 04/07/ :01

Tujuan 04/07/ :01 Sistem Basis Data : Perancangan Perangkat Lunak Tujuan Mahasiswa mampu memahami analisis dan desain model database Mahasiswa paham dan mengerti konsep desain database Mahasiswa mengerti desain arsitektur

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

Arsitektur Komputer, Mikroprosesor dan Mikrokontroller. TTH2D3 Mikroprosesor

Arsitektur Komputer, Mikroprosesor dan Mikrokontroller. TTH2D3 Mikroprosesor Arsitektur Komputer, Mikroprosesor dan Mikrokontroller TTH2D3 Mikroprosesor Organisasi berkaitan dengan fungsi dan desain bagian-bagian sistem komputer digital yang menerima, menyimpan dan mengolah informasi.

Lebih terperinci

sistem komputer Komponen Sistem Komputer

sistem komputer Komponen Sistem Komputer sistem komputer Pengertian Sistem komputer adalah suatu jaringan elektronik yang terdiri dari Software dan Hardware yang melakukan tugas tertentu (menerima input, memproses input, menyimpan perintah-perintah,

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 --

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 -- Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 04 -- This presentation is revised by @hazlindaaziz, STMIK, 2014 Acknowledgement Main Material: Stallings,

Lebih terperinci

REKAYASA PERANGKAT LUNAK LANJUT DESIGN ENGINEERING. Defri Kurniawan M.Kom

REKAYASA PERANGKAT LUNAK LANJUT DESIGN ENGINEERING. Defri Kurniawan M.Kom REKAYASA PERANGKAT LUNAK LANJUT DESIGN ENGINEERING Defri Kurniawan M.Kom Content Pengenalan Perancangan Model Analysis to Model Design Design Concept Design Model Pengenalan Perancangan Perancangan PL

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan Pengertian IC TTL Dan CMOS 9 IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan dari ratusan atau ribuan komponen-komponen lain. Bentuk IC berupa kepingan silikon

Lebih terperinci

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini BAB I 1.PENDAHULUAN 1.1 Latar Belakang Kemajuan di era informasi selalu diimbangi dengan perkembangan teknologi. Perkembangan teknologi yang paling mudah untuk diamati adalah perkembangan teknologi komputer.

Lebih terperinci

PERANCANGAN DAN PEMBUATAN RUMAH PINTAR BERBASIS ARDUINO

PERANCANGAN DAN PEMBUATAN RUMAH PINTAR BERBASIS ARDUINO PERANCANGAN DAN PEMBUATAN RUMAH PINTAR BERBASIS ARDUINO LAPORAN TUGAS AKHIR Diselesaikan sebagai salah satu syarat untuk mendapatkan gelar Ahli Madya (A.Md) Teknik Komputer Oleh: JONATHAN ALBERTO HUTAGAOL

Lebih terperinci

BAB 2 STRUKTUR SISTEM OPERASI. Komponen Sistem Operasi

BAB 2 STRUKTUR SISTEM OPERASI. Komponen Sistem Operasi BAB 2 STRUKTUR SISTEM OPERASI Komponen Sistem Operasi Review : Blok sistem komputer bus (saluran) data Piranti masukan Port I/O Bus kendali CPU Bus kendali Piranti keluaran bus (saluran) alamat Memori

Lebih terperinci

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan PENGENALAN SISTEM MIKROPROSESOR Outline : Mikroprosesor ROM RAM Piranti I/O Piranti Input Tidak "programable Piranti Output Tidak "programable Programable I/O Decoder sistem mikroprosesor berdasarkan piranti-piranti

Lebih terperinci

PERCOBAAN 6 COUNTER ASINKRON

PERCOBAAN 6 COUNTER ASINKRON PERCOBAAN 6 COUNTER ASINKRON 6.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Rangkaian dasar 3-bit Membuat Timing Diagram Counter Membuat MOD-n Membuat Up-Down 6.2.

Lebih terperinci

2009/2010 Course Plan. SK-208 Arsitektur Komputer Ir. Syahrul, MT.

2009/2010 Course Plan. SK-208 Arsitektur Komputer Ir. Syahrul, MT. 2009/2010 Course Plan SK-208 Arsitektur Komputer Ir. Syahrul, MT. DEPARTEMEN TEKNIK INFORMATIKA INSTITUT TEKNOLOGI HARAPAN BANGSA 2010 INSTITUT TEKNOLOGI HARAPAN BANGSA SEMESTER GENAP 2009/2010 (SK-208)

Lebih terperinci

1. PENDAHULUAN 1. PERANGKAT LUNAK DAN PERKEMBANGANNYA

1. PENDAHULUAN 1. PERANGKAT LUNAK DAN PERKEMBANGANNYA 1 1. PENDAHULUAN Topik meliputi : 1. Perangkat Lunak dan Perkembangannya 2. Karakteristik Perangkat Lunak 3. Aplikasi Perangkat Lunak 4. Software Engineering 5. Siklus Kerja Sistim Engineering 6. Prototipe

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN

BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN BAB IV PENGUJIAN DAN ANALISIS HASIL PENGUJIAN Pada bab ini akan dijelaskan proses pengujian, hasil, dan analisis dari hasil pengujian. Ada tiga bagian yang diuji, yaitu perangkat keras, perangkat lunak,

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

BAB I PENDAHULUAN. segala peralatan elektronik. Akan tetapi, energi-energi tersebut berbeda dengan

BAB I PENDAHULUAN. segala peralatan elektronik. Akan tetapi, energi-energi tersebut berbeda dengan BAB I PENDAHULUAN I.1. Latar Belakang Dalam kehidupan sehari - hari manusia menjalankan segala aktifitas yang padat, baik aktifitas yang berat maupun yang ringan. Sudah tentu akan memerlukan energi untuk

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR...

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR... DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN P EMBIMBING... HALAMAN PENGESAHAN P ENGUJI... HALAMAN PERSEMBAHAN... HALAMAN MOTTO... KATA PENGANTAR... ABSTRAKSI... TAKARIR... DAFTAR ISI... DAFTAR TABEL...

Lebih terperinci

BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) "Pengantar Teknologi Informasi" 1

BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) Pengantar Teknologi Informasi 1 BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) "Pengantar Teknologi Informasi" Abstraksi Sistem Komputer Secara abstrak level sebuah sistem komputer modern dari level rendah sampai level tertinggi

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection...

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection... DAFTAR ISI HALAMAN PENGESAHAN...iii PERNYATAAN... iv MOTO DAN PERSEMBAHAN... v PRAKATA... vi DAFTAR ISI... vii DAFTAR GAMBAR... xi DAFTAR TABEL... xiv PENGERTIAN DAN SINGKATAN... xv INTISARI... xviii ABSTRACT...

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Konferensi Nasional Sistem Informasi 23, STMIK Bumigora Mataram 4-6 Pebruari 23 Makalah Nomor: KNSI-343 SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX Ayu Astariatun, Nelly Sulistyorini 2,

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

BAB III METODOLOGI PENELITIAN

BAB III METODOLOGI PENELITIAN BAB III METODOLOGI PENELITIAN 3.1 Desain Penelitian Tahap Awal Tahap Analisis Merumuskan Masalah Studi Literatur Data Penelitian Tahap Perancangan Desain Sistem Implementasi TOPSIS 1. Matriks Keputusan

Lebih terperinci

Pertemuan ke 6 Set Instruksi. Computer Organization Dosen : Eko Budi Setiawan

Pertemuan ke 6 Set Instruksi. Computer Organization Dosen : Eko Budi Setiawan Pertemuan ke 6 Set Instruksi Computer Organization Dosen : Eko Budi Setiawan Tujuan Memahami representasi set instruksi, dan jenis-jenis format instruksi Mengetahui jenis-jenis type operand yang digunakan

Lebih terperinci

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA

Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Perancangan dan Implementasi Algoritma DES untuk Mikroprosesor Enkripsi dan Dekripsi pada FPGA Imaduddin Amrullah Muslim 1), R.Rizal Isnanto 2), Eko Didik Widianto 3) Program Studi Sistem Komputer, Fakultas

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

BAB I PENDAHULUAN. seperti pada pintu, penerangan dan alat-alat yang serba otomatis. Mikrokontroler

BAB I PENDAHULUAN. seperti pada pintu, penerangan dan alat-alat yang serba otomatis. Mikrokontroler BAB I PENDAHULUAN I.1. Latar Belakang Kemajuan teknologi dan komputerisasi berkembang sangat pesat, berbagai penemuan baru dan munculnya teknologi yang semakin canggih menciptakan alat-alat yang modern

Lebih terperinci

Teknik Informatika S1

Teknik Informatika S1 Teknik Informatika S1 SOFTWARE QUALITY AND TESTING Strategi Pengujian Disusun Oleh: Egia Rosi Subhiyakto, M.Kom, M.CS Teknik Informatika UDINUS egia@dsn.dinus.ac.id +6285740278021 SILABUS MATA KULIAH 1.

Lebih terperinci

BAB Arsitektur Komputer. Konsep Arsitektur Komputer. Rini Agustina, S.Kom, M.Pd RINI AGUSTINA - DARI BERBAGAI SUMBER

BAB Arsitektur Komputer. Konsep Arsitektur Komputer. Rini Agustina, S.Kom, M.Pd RINI AGUSTINA - DARI BERBAGAI SUMBER BAB - 01 Arsitektur Komputer Konsep Arsitektur Komputer Rini Agustina, S.Kom, M.Pd 1 Arsitektur Komputer Vs Organisasi Komputer Arsitektur Komputer adalah bagian yang lebih cenderung pada kajian atribut

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

RANCANGAN APLIKASI LATIHAN BELAJAR TENSES DENGAN METODE OBJECT ORIENTED DESIGN

RANCANGAN APLIKASI LATIHAN BELAJAR TENSES DENGAN METODE OBJECT ORIENTED DESIGN Seminar Nasional Teknologi Informasi 2015 RANCANGAN APLIKASI LATIHAN BELAJAR TENSES DENGAN METODE OBJECT ORIENTED DESIGN Qoriani Widayati, Irman Effendy 1) Sistem Informasi Akuntansi, Ilmu Komputer Jl.

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis

Pertemuan ke 4 BAB III Sintesis Rangkaian Sekuensial Deskripsi Manfaat Relevansi Learning Outcome Materi I. Prosedur Sintesis Pertemuan ke 4 1 BAB III Sintesis Rangkaian Sekuensial Deskripsi Pada bab ini akan dibahas tentang prosedur sintesis, sintesis diagram keadaan, rangkaian memori terbatas. Manfaat Memberikan kompetensi

Lebih terperinci

BAB III METODOLOGI PENELITIAN. tools yang akan digunakan untuk merancang aplikasi generator denah

BAB III METODOLOGI PENELITIAN. tools yang akan digunakan untuk merancang aplikasi generator denah 24 BAB III METODOLOGI PENELITIAN 3.1 Alat dan Bahan Penelitian 1. Alat Penelitian Alat penelitian berupa perangkat keras dan perangkat lunak sebagai tools yang akan digunakan untuk merancang aplikasi generator

Lebih terperinci

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

Pertemuan 10 MEMORI INTERNAL

Pertemuan 10 MEMORI INTERNAL Pertemuan 10 MEMORI INTERNAL I. Pengertian Memori internal adalah memori yang dapat diakses langsung oleh prosesor. Fungsi dari memori utama adalah: Menyimpan data yang berasal dari peranti masukan sampai

Lebih terperinci

Pengantar Teknik Elektro

Pengantar Teknik Elektro Pengantar Teknik Elektro TEKNIK TEKNOLOGI INFORMASI BAB 2 : PERANGKAT KERAS DAN PERANGKAT LUNAK 1 Bidang Ilmu Teknik Teknologi Informasi Perangkat Keras Perangkat Lunak Sistem Basis Data Jaringan Komputer

Lebih terperinci

DAFTAR ISI. ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi

DAFTAR ISI. ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi DAFTAR ISI ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi BAB I PENDAHULUAN 1.1 Latar Belakang Masalah... 1 1.2 Identifikasi Masalah...

Lebih terperinci