MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

Ukuran: px
Mulai penontonan dengan halaman:

Download "MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL"

Transkripsi

1 MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra ( ) Albhikautsar Dharma Kesuma ( ) Asisten: Luqman Muh. Zagi ( ) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB Abstrak Pada percobaan ini, dilakukan pembuatan proyek rancangan rangkaian digital, di mana praktikan membuat sebuah rangcangan rangkaian yang mencakup seluruh praktikum sebelum ini yang diimplementasikan dengan aplikasi dengan FPGA dan display LCD. Proyek yang dibuat oleh praktikan adalah game labirin. Kata kunci: LCD, VGA, FPGA 1. PENDAHULUAN Praktikum ini adalah proyek rancangan praktikan yang merupakan semacam program yang dijalankan dengan menggunakan board FPGA dan ditampilkan di display LCD. Yang dibuat oleh praktikan adalah sebuah game labirin, di mana pemain harus menggerakkan sebuah kotak dari garis start menuju garis finish dengan menggunakan tombol di board FPGA. Tujuan dari praktikum ini adalah untuk menspesifikasikan suatu sistem digital sederhana dan untuk mengalasi sisem yang sedan dikerjakan. 2. STUDI PUSTAKA 2.1. Pemilihan Proyek Standar Percobaan ini terdiri dari tahapan desain, implementasi, dan pengujian sistem yang dibuat oleh tim Anda. Diharapkan proyek Anda dapat selesai pada waktu yang ditentukan. Anda dapat menentukan sendiri proyek yang anda buat. Persyaratan proyek tersebut: 1. Menggunakan satu atau lebih interface yang ada di board: VGA, sound card (AUDIO CODEC), LCD, USB, koneksi serial, atau lainnya 2. Interaktif: mempunyai input, push button, mouse, keyboard, atau lainnya 3. Mempunyai bagian FSM 4. Sedikitnya terdiri dari 3 blok 2.2. Petunjuk Desain 1. Anda wajib menggunakan VHDL dalam penegerjaan tugas Anda. Disarankan menggunakan pendekatan struktural bukan behavioral. 2. Import pin assignment/buat pin assignment baru seperti percobaan sebelumnya untuk menspesifikasikan lokasi pin. 3. METODOLOGI Langkah-langkah yang dilakukan praktikan dalam mengerjakan proyek ini adalah:

2 1. Mencari data-data yang perlu untuk implementasi proyek ini, di antaranya adalah source codesource code dasar seperti display_vhd, vga_vhd, dan lainlain. 2. Membuat source code utama, yaitu TUBES.vhd, di mana statestate proyek dinyatakan di sini. State-nya mencakup: state saat pemain menekan tombol arah (kanan, kiri, atas, bawah), state saat kotak digerakkan melebihi batas labirin, dan state di mana game akan di-reset dan kotak kembali ke garis start. 3. Membuat souce code tambahan yaitu pointer.vhd yaitu sebagai kotak yang akan digerakkan dan labirin.vhd sebagai bentuk labirinnya. 4. Simulasi di lab, ternyata masih terdapat beberapa kesalahan pada kode yang kami buat, di mana pernyataan warna dari pointer.vhd dan labirin.vhd tidak bisa digunakan bersama, sehingga kami harus menggabung kode pointer dan labirin. Berikut kami lampirkan FSM (Finite State Machine) dari proyek yang kami kerjakan Dan juga ilustrasi dari blok diagram yang kamu gunakan dalam proyek kami 4. HASIL DAN ANALISIS Berikut ini adalah hasil implementasi proyek kami pada display LCD:

3 Spesifikasi game: Game ini dimainkan dengan menggunakan tombol di FPGA. Tombol yang digunakan adalah KEY0 sebagai arah kanan, KEY1 sebagai arah bawah, KEY2 sebagai arah atas, dan KEY3 sebagai arah kiri. Kotak harus digerakkan dari garis start di tengah menuju garis finish di pojok kiri bawah tanpa melebihi batas dari labirin. Apabila kotak digerakkan melebihi batas labirin, game akan me-reset dan kotak kembali pada posisi semula yaitu di garis start. Apabila kotak berhasil digerakkan menuju garis finish, keadaannya sama seperti apabila kotak digerakkan melewati batas labirin, yaitu game reset. Ada enam buah state dari proyek yang kami buat, berikut akan kami jelaskan bagaimana keberjalanan dalam state tersebut. State 1 (S1) adalah state dimana kotak dalam keadaan diam menunggu untuk masukan dari pengguna yang nantinya akan menentukan kemana kotak akan begerak. State 2 (S2). State ini akan menentukan apakah kotak akan bergerak ke kanan atau akan melebihi dari labirin yang sudah ditentukan. Apabila kotak masih dapat bergerkan maka akan kembali ke (S1) namun apabila kotak melewati batas labirin, permainan ini akan masuk ke state 6 (S6). State 3 (S3). State ini akan menentukan apakah kotak akan bergerak ke kiri atau akan melebihi dari labirin yang sudah ditentukan. Apabila kotak masih dapat bergerkan maka akan kembali ke (S1) namun apabila kotak melewati batas labirin, permainan ini akan masuk ke state 6 (S6). State (S4). State ini akan menentukan apakah kotak akan bergerak ke atas atau akan melebihi dari labirin yang sudah ditentukan. Apabila kotak masih dapat bergerkan maka akan kembali ke (S1) namun apabila kotak melewati batas labirin, permainan ini akan masuk ke state 6 (S6). State 5 (S5). State ini akan menentukan apakah kotak akan bergerak ke bawahatau akan melebihi dari labirin yang sudah ditentukan. Apabila kotak masih dapat bergerkan maka akan kembali ke (S1) namun apabila kotak melewati batas labirin, permainan ini akan masuk ke state 6 (S6). State 6 (S6) adalah state dimana kotak sudah melewati batas dari labirin. Pada state 6 ini permainan akan direset dan akan dikembalikan pada posisi awal kotak diletakan. Keseluruan state akan kembali ke state 1 untuk menunggu masukan dari user. Dalam pembuatan labirin tersebut digunakan sebuah file yang sudah kami buat yang bernama tubes.vhd. File ini secara garis besar sama dengan color_rom_vhdl.vhd yang digunakan pada praktikum sebelumnya yang berguna untuk membuat batasanbatasan dari labirin yang akan dibuat. Digunakan pula clockdiv.vhd yang berguna untuk membuat timing sehingga kotak dapat bergerak. Untuk desain labirin, hasil sudah sesuai dengan spesifikasi yang diharapkan, namun penggerakkan kotak tidak berhasil, sehingga pe-reset-an game saat kotak digerakkan melewati batas labirin dan kondisi finish tidak diketahui. Pengnujian untuk untuk mengecek keberhasilan proyek kami adalah dengan berhasilnya menjalankan kotak dari garis start

4 sampai garis finish dan kotak keluar dari batas yang sudah ditentukan untuk mengetes keberhasilan reset dari proyek kami. Hasil dari proyek kami tidak sesuai dengan spec yang kami inginkan karena permainan kami tidak dapat berlangsung dengan baik karena kotak tidak dapat bergerak dari tempat yang dimaksud. Analisis: Desain labirin dan posisi kotak sukses dimplementasikan di display LCD. Sayangnya, kotak tidak dapat digerakkan. Analisis kami adalah, sepertinya terdapat kesalahan kode dalam state-nya, yaitu state saat pemain menekan tombol arah pada FPGA. Selanjutnya, state ketika kotak digerakkan melebihi batas labirin juga tidak bisa dilihat berjalan atau tidak karena kotak yang tidak bisa digerakkan tersebut. Kesalahan pada pendesaianan proyek kami pula terjadi kesalahan dalam pemanggilan kode-kode portmap yang menyebabkan kotak tidak dapat bergerak sebagaimana mustinya. Dalam proyek besar ini, kami tidak dapat membuat hasil simulasi maupun video tentang bagaimana permainan ini berlangsung dikarenakan hal yang sudah disebutkan diatas. Pembagian tugas dalam pembuatan proyek ini adalah bahwa kami berdua masingmasing saling membantu dalam pengerjaan kode vhdl, pembuatan laporan, maupun pada saat perbaikan-perbaikan kode vhdl pada saat praktikum pertama dan kedua dalam pengerjaan proyek ini. Tidak ada seseorang yang lebih berat bekerja. 5. KESIMPULAN Mengassign portmap adalah hal yang sangat penting dalam pengkodean dan pengimplementasian pada FPGA. Proyek kami sebagian besar salah dikarenakan kegagalan dalam pemanggilan variabelvariabel yang digunakan dalam pengkodean vhdl ini. Jadi pada sampai halnya bahwa proyek kami tidak dapat dijalankan dengan sebagaimana mustinya, sehingga permainan ini tidak dapat dijalankan. DAFTAR PUSTAKA [1] Mervin T. Hutabarat, Praktikum Sistem Digital EL-2195, Laboratorium Dasar Teknik Elektro, Bandung, 2012.

5 tubes.vhd LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY tubes IS PORT( CLOCK_50 : IN STD_LOGIC; KEY : IN STD_LOGIC_VECTOR( 3 DOWNTO 0 ); i_pixel_rowkotak : INOUT STD_LOGIC_VECTOR( 9 DOWNTO 0 ); i_pixel_columnkotak : INOUT STD_LOGIC_VECTOR( 9 DOWNTO 0 ); VGA_R : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_G : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_B : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_HS : OUT STD_LOGIC; VGA_VS : OUT STD_LOGIC; VGA_CLK : OUT STD_LOGIC; VGA_BLANK : OUT STD_LOGIC; GPIO_0 : OUT STD_LOGIC_VECTOR( 35 DOWNTO 0 )); END tubes; ARCHITECTURE behavioral OF tubes IS TYPE executionstage IS (s1,s2,s3,s4,s5,s6); SIGNAL state : executionstage; SIGNAL BA,BB,BL,BR : STD_LOGIC_VECTOR( 9 DOWNTO 0 ); SIGNAL red_color : STD_LOGIC_VECTOR( 7 DOWNTO 0 ); SIGNAL green_color : STD_LOGIC_VECTOR( 7 DOWNTO 0 ); SIGNAL blue_color : STD_LOGIC_VECTOR( 7 DOWNTO 0 ); SIGNAL BA2, BB2, BL2, BR2 : STD_LOGIC_VECTOR( 9 DOWNTO 0 ); SIGNAL x : STD_LOGIC_VECTOR( 9 DOWNTO 0 ); SIGNAL y : STD_LOGIC_VECTOR( 9 DOWNTO 0 ); SIGNAL DIV : bit; COMPONENT display_vhd IS PORT(

6 i_clk : IN STD_LOGIC; VGA_R : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_G : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_B : OUT STD_LOGIC_VECTOR( 5 DOWNTO 0 ); VGA_HS : OUT STD_LOGIC; VGA_VS : OUT STD_LOGIC; VGA_CLK : OUT STD_LOGIC; VGA_BLANK : OUT STD_LOGIC); END COMPONENT; COMPONENT Labirin IS PORT( i_clk : IN STD_LOGIC; BA,BB,BL,BR : IN STD_LOGIC_VECTOR(9 DOWNTO 0); i_pixel_column : IN STD_LOGIC_VECTOR( 9 DOWNTO 0 ); i_pixel_rowkotak : IN STD_LOGIC_VECTOR( 9 DOWNTO 0 ); i_pixel_columnkotak : IN STD_LOGIC_VECTOR( 9 DOWNTO 0 ); i_pixel_row : IN STD_LOGIC_VECTOR( 9 DOWNTO 0 ); o_red : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ); o_green : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ); o_blue : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )); END COMPONENT; COMPONENT CLOCKDIV IS PORT( CLK: IN std_logic; DIVOUT: buffer BIT); END COMPONENT; BEGIN TEST : CLOCKDIV PORT MAP (DIVOUT => DIV, CLK => CLOCK_50); PROCESS (KEY(3), KEY(2), KEY(1), KEY(0), state) --3 kanan 2 kiri 1 atas 0 bawah BEGIN if (DIV'event and DIV = '1') then --current state case state is when s1 => IF (KEY(3)='0' AND KEY(2)='1' AND KEY(1)='1' AND KEY(0)='1') THEN state <= s2; --kanan

7 elsif (KEY(3)='1' AND KEY(2)='0' AND KEY(1)='1' AND KEY(0)='1') THEN state <= s3; --kiri elsif (KEY(3)='1' AND KEY(2)='1' AND KEY(1)='0' AND KEY(0)='1') THEN state <= s4; --atas elsif (KEY(3)='1' AND KEY(2)='1' AND KEY(1)='1' AND KEY(0)='0') THEN state <= s5; --bawah END IF; when s2 =>IF (((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 30) -- kanan OR ((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 260) OR ((i_pixel_columnkotak>= 610) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 400) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 450) AND (i_pixel_rowkotak<= 390)) (i_pixel_columnkotak<= 150) OR ((i_pixel_columnkotak>= 290) AND (i_pixel_columnkotak<= 350) AND (i_pixel_rowkotak>= 240) AND (i_pixel_rowkotak<= 350))

8 (i_pixel_rowkotak<= 190)) (i_pixel_rowkotak<= 450)) (i_pixel_columnkotak<= 470) (i_pixel_columnkotak<= 280) (i_pixel_columnkotak<= 320) (i_pixel_rowkotak<= 50)) (i_pixel_columnkotak<= 360) (i_pixel_columnkotak<= 360) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 340) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 280) (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 290) AND AND (i_pixel_rowkotak>= 330) AND AND (i_pixel_rowkotak>= 450) AND --likalikukehidupan OR((i_pixel_columnkotak>= 260) AND AND (i_pixel_rowkotak>= 30) AND OR ((i_pixel_columnkotak>= 300) AND OR ((i_pixel_columnkotak>= 340) AND AND (i_pixel_rowkotak>= 30) AND AND (i_pixel_rowkotak>= 60) AND AND (i_pixel_rowkotak>= 80) AND OR ((i_pixel_columnkotak>= 280) AND

9 (i_pixel_columnkotak<= 400) AND (i_pixel_rowkotak>= 100) AND (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 380) AND (i_pixel_columnkotak<= 400) (i_pixel_rowkotak<= 100))) THEN i_pixel_columnkotak <= i_pixel_columnkotak+ 1; state <= s1; ELSE state <= s6; END IF; when s3 => IF (((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 30) --kiri OR ((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 260) OR ((i_pixel_columnkotak>= 610) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 400) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 450) AND (i_pixel_rowkotak<= 390)) (i_pixel_columnkotak<= 150) OR ((i_pixel_columnkotak>= 290) AND (i_pixel_columnkotak<= 350) AND (i_pixel_rowkotak>= 240) AND

10 (i_pixel_rowkotak<= 350)) (i_pixel_rowkotak<= 190)) (i_pixel_rowkotak<= 450)) (i_pixel_columnkotak<= 470) (i_pixel_columnkotak<= 280) (i_pixel_columnkotak<= 320) (i_pixel_rowkotak<= 50)) (i_pixel_columnkotak<= 360) (i_pixel_columnkotak<= 360) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 340) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 280) (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 290) AND AND (i_pixel_rowkotak>= 330) AND AND (i_pixel_rowkotak>= 450) AND --likalikukehidupan OR((i_pixel_columnkotak>= 260) AND AND (i_pixel_rowkotak>= 30) AND OR ((i_pixel_columnkotak>= 300) AND OR ((i_pixel_columnkotak>= 340) AND AND (i_pixel_rowkotak>= 30) AND AND (i_pixel_rowkotak>= 60) AND AND (i_pixel_rowkotak>= 80) AND

11 OR ((i_pixel_columnkotak>= 280) AND (i_pixel_columnkotak<= 400) AND (i_pixel_rowkotak>= 100) AND (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 380) AND (i_pixel_columnkotak<= 400) (i_pixel_rowkotak<= 100))) THEN i_pixel_columnkotak<= i_pixel_columnkotak- 1; state <= s1; ELSE state <= s6; END IF; when s4 => IF (((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 30) --atas OR ((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 260) OR ((i_pixel_columnkotak>= 610) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 400) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 450) AND (i_pixel_rowkotak<= 390)) (i_pixel_columnkotak<= 150) OR ((i_pixel_columnkotak>= 290) AND (i_pixel_columnkotak<= 350)

12 (i_pixel_rowkotak<= 350)) (i_pixel_rowkotak<= 190)) (i_pixel_rowkotak<= 450)) (i_pixel_columnkotak<= 470) (i_pixel_columnkotak<= 280) (i_pixel_columnkotak<= 320) (i_pixel_rowkotak<= 50)) (i_pixel_columnkotak<= 360) (i_pixel_columnkotak<= 360) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 340) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 280) AND (i_pixel_rowkotak>= 240) AND OR ((i_pixel_columnkotak>= 290) AND AND (i_pixel_rowkotak>= 330) AND AND (i_pixel_rowkotak>= 450) AND --likalikukehidupan OR((i_pixel_columnkotak>= 260) AND AND (i_pixel_rowkotak>= 30) AND OR ((i_pixel_columnkotak>= 300) AND OR ((i_pixel_columnkotak>= 340) AND AND (i_pixel_rowkotak>= 30) AND AND (i_pixel_rowkotak>= 60) AND AND (i_pixel_rowkotak>= 80) AND

13 (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 280) AND (i_pixel_columnkotak<= 400) AND (i_pixel_rowkotak>= 100) AND (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 380) AND (i_pixel_columnkotak<= 400) (i_pixel_rowkotak<= 100))) THEN i_pixel_rowkotak<= i_pixel_rowkotak- 1; state <= s1; ELSE state <= s6; END IF; when s5 => IF (((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 30) -- bawah OR ((i_pixel_columnkotak>= 10) AND (i_pixel_columnkotak<= 260) OR ((i_pixel_columnkotak>= 610) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 400) AND (i_pixel_columnkotak<= 630) OR ((i_pixel_columnkotak>= 450) AND (i_pixel_rowkotak<= 390)) (i_pixel_columnkotak<= 150)

14 (i_pixel_columnkotak<= 350) (i_pixel_rowkotak<= 350)) (i_pixel_rowkotak<= 190)) (i_pixel_rowkotak<= 450)) (i_pixel_columnkotak<= 470) (i_pixel_columnkotak<= 280) (i_pixel_columnkotak<= 320) (i_pixel_rowkotak<= 50)) (i_pixel_columnkotak<= 360) (i_pixel_columnkotak<= 360) (i_pixel_rowkotak<= 80)) (i_pixel_columnkotak<= 340) (i_pixel_rowkotak<= 80)) OR ((i_pixel_columnkotak>= 290) AND AND (i_pixel_rowkotak>= 240) AND OR ((i_pixel_columnkotak>= 290) AND AND (i_pixel_rowkotak>= 330) AND AND (i_pixel_rowkotak>= 450) AND --likalikukehidupan OR((i_pixel_columnkotak>= 260) AND AND (i_pixel_rowkotak>= 30) AND OR ((i_pixel_columnkotak>= 300) AND OR ((i_pixel_columnkotak>= 340) AND AND (i_pixel_rowkotak>= 30) AND AND (i_pixel_rowkotak>= 60) AND

15 (i_pixel_columnkotak<= 280) AND (i_pixel_rowkotak>= 80) AND (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 280) AND (i_pixel_columnkotak<= 400) AND (i_pixel_rowkotak>= 100) AND (i_pixel_rowkotak<= 120)) OR ((i_pixel_columnkotak>= 380) AND (i_pixel_columnkotak<= 400) (i_pixel_rowkotak<= 100))) THEN i_pixel_rowkotak<= i_pixel_rowkotak+ 1; state <= s1; ELSE state <= s6; END IF; when s6 => i_pixel_columnkotak<= " "; i_pixel_rowkotak<= " "; state <= s1; end case; END IF; END PROCESS; PROCESS (i_pixel_columnkotak, i_pixel_rowkotak) BEGIN BA2 <= i_pixel_columnkotak; BB2 <= i_pixel_columnkotak + 10; BL2 <= i_pixel_rowkotak; BR2 <= i_pixel_rowkotak + 10; END PROCESS; module_vga : display_vhd PORT MAP ( i_clk => CLOCK_50, VGA_R => VGA_R, VGA_G => VGA_G,

16 VGA_B VGA_HS VGA_VS VGA_CLK VGA_BLANK ); => VGA_B, => VGA_HS, => VGA_VS, => VGA_CLK, => VGA_BLANK color_rom0 : Labirin PORT MAP ( i_clk => CLOCK_50, i_pixel_rowkotak => i_pixel_rowkotak, i_pixel_columnkotak => i_pixel_columnkotak, BA => BA2, BB => BB2, BL => BL2, BR => BR2, o_red => red_color, o_green => green_color, o_blue => blue_color); clock0 : clockdiv port map ( clk => CLOCK_50, divout => div ); END behavioral;

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA

PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA PERCOBAAN 3D : MERANCANG SEBUAH KALKULATOR SEDERHANA Operasi yang telah dipelajari hingga percobaan sebelum ini adalah menggunakan pendekatan abstraksi gate-level, dimana operasi dilakukan dalam bit per

Lebih terperinci

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital

Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital Penerapan Graf dan Logika dalam Perancangan Rangkaian Digital dengan Studi Kasus Jam Digital James Jaya 13511089 1 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi

Lebih terperinci

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK

PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK PERCOBAAN 4D : MERANCANG SEBUAH KALKULATOR UNTUK MELAKUKAN OPERASI SPESIFIK Pada percobaan ini, akan dibuat suatu kalkulator yang dapat melakukan suatu operasi spesifik dengan menggunakan operasi-operasi

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER TUJUAN 1. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Rancangan Sistem Rancangan sistem secara keseluruhan dapat dilihat pada gambar 3.1. Keyboard FPGA dan NanoBoard Monitor Gambar 3.1 Diagram Blok Sistem Dari blok diagram diatas

Lebih terperinci

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA OBYEKTIF : - Memahami perangkat lunak Xilinx - Mampu menggambarkan gerbang digital dasar pada schematic editor - Mampu mensimulasikan gerbang dasar

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisa Sistem Dokumentasi merupakan suatu hal yang dibutuhkan manusia pada era globalisasi pada saat ini. Karena pentingnya suatu nilai dokumentasi membuat pengguna

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB III METODOLOGI Analisis Kebutuhan Fungsi dan Kinerja Fungsi dan kinerja yang dibutuhkan dalam aplikasi ini adalah sebagai berikut:

BAB III METODOLOGI Analisis Kebutuhan Fungsi dan Kinerja Fungsi dan kinerja yang dibutuhkan dalam aplikasi ini adalah sebagai berikut: BAB III METODOLOGI 3.1 Pengumpulan Data Tahap ini peneliti melakukan pengumpulan data yang berkaitan dengan pembuatan aplikasi ini, diantaranya mencari dan mengumpulkan data mengenai contoh kuis dan gambar

Lebih terperinci

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah:

ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: ENTITY Entity adalah daftar dengan spesifikasi dari semua pin input dan output (port) dari sirkuit. Sintaks ditampilkan di bawah: Sinyal mode bisa IN, OUT, INOUT, atau BUFFER. Seperti digambarkan dalam

Lebih terperinci

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY

Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY Percobaan 1 PENGENALAN INTERFACE PARALLEL DAN SEVEN SEGMENT LED DISPLAY I. Tujuan 1. Mengenal interface Paralel (Parallel Board). 2. Mengenal Visual Basic untuk mengakses parallel port (data, control dan

Lebih terperinci

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN :

SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA. Volume 4, Nomor 1, Januari 2008 ISSN : JURNAL PENELITIAN SITROTIKA TEKNIK SIPIL TEKNIK ELEKTRO TEKNIK INFORMATIKA Volume 4, Nomor 1, Januari 2008 ISSN : 1693-9670 JUDUL PENELITIAN 1. Rancang Bangun Sistem Layanan Panduan Wisata Dengan ASP (Active

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Touch screen merupakan salah satu teknologi display yang menjadi trend untuk gadget masa kini. Hampir semua gadget masa kini seperti smartphone, konsol permainan,

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH YOGYAKARTA 2016 MIKROKONTROLER UNTUK PEMULA

Lebih terperinci

BAB 3 PERANCANGAN PROGRAM. objek, analisis blob, SMS service, dan video saving. Deteksi objek adalah proses untuk

BAB 3 PERANCANGAN PROGRAM. objek, analisis blob, SMS service, dan video saving. Deteksi objek adalah proses untuk BAB 3 PERANCANGAN PROGRAM 3.1 Spesifikasi rancangan program Secara garis besar program dapat dibagi menjadi 4 bagian besar, yaitu deteksi objek, analisis blob, SMS service, dan video saving. Deteksi objek

Lebih terperinci

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang

BAB I PENDAHULUAN. digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang BAB I PENDAHULUAN 1.1. Latar Belakang Masalah PLC (Programmable Logic Control) merupakan suatu peralatan yang digunakan untuk mengontrol dan bisa diprogram sesuai dengan kebutuhan, yang biasanya digunakan

Lebih terperinci

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs.

BABIV ANALISA DAN PEMBAHASAN. pemberian input melalui keypad serta output dari sinyal R, G, B, Vs dan Hs. BABIV ANALISA DAN PEMBAHASAN Pada bab ini akan dibahas mengenai keseluruhan pengujian sistem. Materi pengujian sistem meliputi dua bagian yakni dengan simulasi dan pengujian pada monitor. Simulasi dilakukan

Lebih terperinci

TUGAS AKHIR JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INDUSTRI S U R A B A Y A 2011 GAGAP SURYO NEGORO NPM

TUGAS AKHIR JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INDUSTRI S U R A B A Y A 2011 GAGAP SURYO NEGORO NPM SISTEM ANTRIAN PEMBAYARAN LOKET BERBASIS MIKROKONTROLER TUGAS AKHIR Oleh : GAGAP SURYO NEGORO NPM. 0534010202 JURUSAN TEKNIK INFORMATIKA FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS PEMBANGUNAN NASIONAL "VETERAN"

Lebih terperinci

PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER

PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER PENGEMBANGAN PROTOTYPE SENSOR PARKIR 4 SISI BERBASIS MIKROKONTROLER TUGAS AKHIR Disusun sebagai salah satu syarat untuk kelulusan Program Strata 1, di Program Studi Teknik Informatika, Universitas Pasundan

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI

BAB III PERANCANGAN DAN REALISASI BAB III PERANCANGAN DAN REALISASI 3.1 Perancangan Pengatur Scoring Digital Wireless Futsal Berbasis Mikrokontroller AVR ATMEGA8. Perancangan rangkaian pengatur scoring digital untuk mengendalikan score,

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai proses perancangan mekanik pembersih lantai otomatis serta penyusunan rangkaian untuk merealisasikan sistem alat. Dalam hal ini

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI

BAB 4 IMPLEMENTASI DAN EVALUASI BAB 4 IMPLEMENTASI DAN EVALUASI 4.1 Spesifikasi Sistem Spesifikasi yang ada pada sistem ini terbagi menjadi dua tahapan, yaitu spesifikasi perangkat keras yang digunakan dan spesifikasi perangkat lunak

Lebih terperinci

PENGEMBANGAN PETA INTERAKTIF TIGA DIMENSI JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER MENGGUNAKAN UNREAL ENGINE

PENGEMBANGAN PETA INTERAKTIF TIGA DIMENSI JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER MENGGUNAKAN UNREAL ENGINE PENGEMBANGAN PETA INTERAKTIF TIGA DIMENSI JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER MENGGUNAKAN UNREAL ENGINE Oleh : Singgih Setyo Jatmiko 5207100055 PEMBIMBING I PEMBIMBING II : Dr.Eng.

Lebih terperinci

Antarmuka PC Keyboard dengan DST-AVR

Antarmuka PC Keyboard dengan DST-AVR Antarmuka PC Keyboard dengan DST-AVR Tata kerja keyboard PC Setiap kali salah satu tombol keyboard ditekan atau dilepas, keyboard akan mengirim kode ke host (host adalah komputer kalau keyboard dihubungkan

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisis Sistem Animasi ini dirancang sebagai bahan pengenalan (introduction), dimana pengenalan menggunakan animasi ini diharapkan dapat memberikan kesan menarik dan

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

BAB 3 ANALISIS DAN PERANCANGAN PROGRAM. Nama program yang hendak dikembangkan adalah Viola Jones Simulator. Tujuan dari

BAB 3 ANALISIS DAN PERANCANGAN PROGRAM. Nama program yang hendak dikembangkan adalah Viola Jones Simulator. Tujuan dari BAB 3 ANALISIS DAN PERANCANGAN PROGRAM 3.1 Perancangan Program Aplikasi 3.1.1 Gambaran Umum Program Aplikasi Nama program yang hendak dikembangkan adalah Viola Jones Simulator. Tujuan dari perancangan

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN III.1. Analisis Dalam suatu pembangunan aplikasi, analisis perlu dilakukan sebelum tahap perancangan dilakukan. Perancang aplikasi harus menganalisis kebutuhan apa yang

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

PROGRAMMABLE LOGIC DEVICES

PROGRAMMABLE LOGIC DEVICES PROGRAMMABLE LOGIC DEVICES Hardware make softer, software make harder Perkembangan Teknologi Logic Device Definisi PLD Konsep Dasar PLD Jenis-jenis PLD : PAL, PLA, GAL Memprogram dan men-develop pogram

Lebih terperinci

Penerapan Algoritma Runut-Balik dan Graf dalam Pemecahan Knight s Tour

Penerapan Algoritma Runut-Balik dan Graf dalam Pemecahan Knight s Tour Penerapan Algoritma Runut-Balik dan Graf dalam Pemecahan Knight s Tour Krisnaldi Eka Pramudita NIM-13508014 Prodi Teknik Informatika, Sekolah Teknik Elektro dan Informatika, Bandung 40135, Email : if18014@students.if.itb.ac.id

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN 52 BAB III ANALISA DAN PERANCANGAN 3.1. Analisa Sistem Pembuatan Aplikasi materi Pembelajaran tentang Farmakologi bagi Mahasiswa Kedokteran saat ini masih bersifat manual, dengan perkembangan informasi

Lebih terperinci

Buku Panduan LP Digital Poster 32

Buku Panduan LP Digital Poster 32 Buku Panduan LP-309-005 Digital Poster 32 eh Rota t /V Version : 1.0 / LP-309-005 1 1. Daftar Isi 1. Daftar Isi...1. 2. Tinjauan Utama...2. 3. Remote Control...3. 4. Kartu Memory...3. 5. Sumber Input...3.

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN 3.1 Analisa Sistem Dalam tahap ini penulis menganalisa kebutuhan sistem, permasalahan yang menjadi faktor analisa sehingga jika diketahui permasalahan yang ada dalam pembuatan

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

SEM. 4 KOMUNIKASI DATA

SEM. 4 KOMUNIKASI DATA No. PSM/DEL/02 Revisi : 02 Tgl : 4 Maret 2008 Hal 1 dari 10 I. Pokok Bahasan Transmisi Data Paralel II. Judul Kendali Nyala 8 Led dengan Port Printer LPT1 IV. Tujuan Setelah selesai praktek diharapkan

Lebih terperinci

BAB III ANALISIS DAN RANCANGAN PROGRAM

BAB III ANALISIS DAN RANCANGAN PROGRAM BAB III ANALISIS DAN RANCANGAN PROGRAM III.1. Analisis Masalah Tahapan analisis permasalahan terhadap suatu sistem dilakukan sebelum tahapan permasalahan dilakukan. Tujuan diterapkannya analisis terhadap

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot.

Delphi Cara membuka aplikasi Delphi 7. Start All Programs Borland Delphi 7 Delphi Perkenalan jendela Delphi 7. syahada.blogspot. 1. Cara membuka aplikasi Delphi 7 Start All Programs Borland Delphi 7 Delphi 7 2. Perkenalan jendela Delphi 7 Ket : 1. Object Tree View Merupakan sebuah diagram pohon yang menggambarkan hubungan logis

Lebih terperinci

DAFTAR ISI LEMBAR PENGESAHAN SURAT PERNYATAAN ABSTRAK ABSTRACT KATA PENGANTAR DAFTAR TABEL DAFTAR GAMBAR

DAFTAR ISI LEMBAR PENGESAHAN SURAT PERNYATAAN ABSTRAK ABSTRACT KATA PENGANTAR DAFTAR TABEL DAFTAR GAMBAR ABSTRAK Perkembangan teknologi pada saat ini menuntut dalam segala hal, terciptanya suatu sistem yang cepat dan dilakukan secara otomatis. Salah satu aplikasi yang membutuhkan perkembangan teknologi yang

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

BAB 3 ANALISIS DAN PERANCANGAN SISTEM PROGRAM APLIKASI HANDS RECOGNIZER

BAB 3 ANALISIS DAN PERANCANGAN SISTEM PROGRAM APLIKASI HANDS RECOGNIZER BAB 3 ANALISIS DAN PERANCANGAN SISTEM PROGRAM APLIKASI HANDS RECOGNIZER Dalam analisis dan perancangan sistem program aplikasi ini, disajikan mengenai analisis kebutuhan sistem yang digunakan, diagram

Lebih terperinci

APLIKASI ARM 920T DAN SENSOR KOMPAS OS5000 UNTUK LUOPAN DIGITAL ABSTRAK

APLIKASI ARM 920T DAN SENSOR KOMPAS OS5000 UNTUK LUOPAN DIGITAL ABSTRAK APLIKASI ARM 920T DAN SENSOR KOMPAS OS5000 UNTUK LUOPAN DIGITAL Melinda Widyanti 0822044 Jurusan Teknik Elektro, Fakultas Teknik Jl. Prof. Drg. Suria Sumantri 65, Bandung 40164, Indonesia ABSTRAK ARM adalah

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN ALAT

BAB III ANALISA DAN PERANCANGAN ALAT BAB III ANALISA DAN PERANCANGAN ALAT III.1. Analisa Permasalahan Perancangan Alat Ukur Kadar Alkohol Pada Minuman Tradisional Dalam melakukan pengujian kadar alkohol pada minuman BPOM tidak bisa mengetahui

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Pengertian Umum Sistem yang dirancang adalah sistem yang berbasiskan mikrokontroller dengan menggunakan smart card yang diaplikasikan pada Stasiun Kereta Api sebagai tanda

Lebih terperinci

BAB 3 PERANCANGAN DAN PEMBUATAN. Engine akan dirancang agar memenuhi syarat maintainability, reusability dan

BAB 3 PERANCANGAN DAN PEMBUATAN. Engine akan dirancang agar memenuhi syarat maintainability, reusability dan 13 BAB 3 PERANCANGAN DAN PEMBUATAN 3.1 Perancangan Engine Engine akan dirancang agar memenuhi syarat maintainability, reusability dan usability. Maintainability berarti kode program engine harus mudah

Lebih terperinci

BAB 3 PERANCANGAN PROGRAM. dari OOP (Object Oriented Programming) di mana dalam prosesnya, hal-hal

BAB 3 PERANCANGAN PROGRAM. dari OOP (Object Oriented Programming) di mana dalam prosesnya, hal-hal BAB 3 PERANCANGAN PROGRAM 3.1 Spesifikasi Rumusan Rancangan Program Algoritma Genetika dirancang dengan mengikuti prinsip-prinsip dan sifatsifat dari OOP (Object Oriented Programming) di mana dalam prosesnya,

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM 29 BAB 3 PERANCANGAN SISTEM 3.1 Blok Diagram Sistem Blok diagram sistem absensi ini dapat dilihat pada gambar 3.1 di bawah ini. Gambar 3.1. Diagram Blok Sistem Fungsi fungsi dari blok diatas adalah sebagai

Lebih terperinci

SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I

SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I SIMULASI DAN ANALISIS ERROR KOMPUTASI FFT WINOGRAD 16-TITIK MENGGUNAKAN XILINX ISE 10.1I Irma Yulia Basri 1 1) Universitas Negeri Padang Email : irma_yulia_77@yahoo.com Abstract - Weakness data processing

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisis Masalah Dalam perancangan alat pendeteksi kadar alkohol pada buah-buahan untuk dikonsumsi ibu hamil menggunakan beberapa metode rancang bangun yang pembuatannya

Lebih terperinci

PERTEMUAN II PEMOGRAMAN INPUT

PERTEMUAN II PEMOGRAMAN INPUT PERTEMUAN II PEMOGRAMAN INPUT TUJUAN: - Mahasiswa mampu memprogram mikrokontroller untuk menerima Informasi dari perangkat input yaitu switch, potensiometer, LDR, phototransistor, mic. PENGENALAN MIKROKONTROLER

Lebih terperinci

MEDIA PEMBELAJARAN PROSES PENCERNAAN MAKANAN PADA TUBUH MANUSIA BERBASIS MULTIMEDIA

MEDIA PEMBELAJARAN PROSES PENCERNAAN MAKANAN PADA TUBUH MANUSIA BERBASIS MULTIMEDIA MEDIA PEMBELAJARAN PROSES PENCERNAAN MAKANAN PADA TUBUH MANUSIA BERBASIS MULTIMEDIA R. Yadi Rakhman Alamsyah, S.T. 1 Harry Herdiansyah 2 1,2 Program Studi Teknik Informatika, STMIK LPKIA 3 Jln. Soekarno

Lebih terperinci

BAB III HASIL DAN UJI COBA

BAB III HASIL DAN UJI COBA BAB III HASIL DAN UJI COBA IV.1. Analisis Hasil Adapun yang akan dibahas pada bab ini yaitu mengenai hasil dan uji coba Aplikasi Game Puzzle Pengenalan Alat Pencernaan sebagai media pembelajaran mata pelajaran

Lebih terperinci

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER

RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER RANCANG BANGUN PAPAN IKLAN DOT MATRIX MENGGUNAKAN SMS BERBASIS MIKROKONTROLER 1 Dadan Nurdin Bagenda, 2 Wahyudin 1 Program Studi Teknik Informatika STMIK LPKIA 2 Program Studi Teknik Informatika STMIK

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN III.1. Analisis Game Analisis game merupakan analisis yang dilakukan melalui analisis user dan analisis artikel game sejenis. Analisis user dilakukan dengan mengamati perilaku

Lebih terperinci

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin BAB III PERANCANGAN ALAT 3.1 Perancangan Dalam pembuatan suatu alat diperlikan adanya sebuah rancangan yang menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin timbul dapat ditekan

Lebih terperinci

BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE. 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa

BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE. 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa BAB IV PEMBAHASAN DAN ANALISA PEMROGRAMAN PADA PLC DAN SOFTWARE WONDERWARE 4.1 Membuat Program Dalam Bentuk Ladder Pada PLC LS Glofa a. Buka program GMWIN 4.0 dengan cara klik Start Windows All Programs

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN

BAB III PERANCANGAN DAN PEMBUATAN BAB III PERANCANGAN DAN PEMBUATAN 3.1 Deskripsi dan Spesifikasi Alat 3.1.1 Deskripsi Bab III ini akan dibahas tentang perencanaan sistem alat ukur arus. Alat ukur arus ini menggunakan mikrokontroler arduino

Lebih terperinci

BAB 3 ANALISIS DAN PERANCANGAN. mengidentifikasi dan mengevaluasi kebutuhan-kebutuhan yang diharapkan

BAB 3 ANALISIS DAN PERANCANGAN. mengidentifikasi dan mengevaluasi kebutuhan-kebutuhan yang diharapkan BAB 3 ANALISIS DAN PERANCANGAN 3.1 Analisis sistem Analisis sistem dapat didefinisikan sebagai penguraian dari suatu sistem yang utuh kedalam bagian-bagian komponennya dengan maksud untuk mengidentifikasi

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Software arduino merupakan software yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler arduino menggunakan software

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA Pembahasan dalam Bab ini meliputi pengujian dari setiap bagian kemudian dilakukan pengujian secara keseluruhan. Ada beberapa tahapan pengujian untuk yang harus dilakukan untuk

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET (KOMUNIKASI DATA)

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET (KOMUNIKASI DATA) Revisi : 01 Tgl : 1 Maret 2008 Hal 1 dari 8 1. Kompetensi Setelah melakukan praktik, mahasiswa memiliki kompetensi: dapat memahami dan mengimplementasikan teknik komunikasi data antara dua buah komputer

Lebih terperinci

de KITS Application Note AN45 Type Another Way

de KITS Application Note AN45 Type Another Way de KITS Application ote A45 Type Another Way Oleh: aniel Tanoyo (Pemenang Smart & Creative Challenge 1 kategori Serial Port) Type Another Way akan menampilkan karakter pada de KITS SPC isplay SPC-007 melalui

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. ruangan yang menggunakan led matrix dan sensor PING))). Led matrix berfungsi

BAB 3 PERANCANGAN SISTEM. ruangan yang menggunakan led matrix dan sensor PING))). Led matrix berfungsi BAB 3 PERANCANGAN SISTEM 3.1 Pengertian Umum Perancangan Media Penyampaian Informasi Otomatis Dengan LED Matrix Berbasis Arduino adalah suatu sistem media penyampaian informasi di dalam ruangan yang menggunakan

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisa Masalah Dalam Perancangan Robot Rubik s cube 3x3x3 Berbasis Mikrokontroler Menggunakan Metode Jessica Fridrich yang pembuatan nya terdapat beberapa masalah

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

BAB III ANALISA MASALAH DAN PERANCANGAN

BAB III ANALISA MASALAH DAN PERANCANGAN BAB III ANALISA MASALAH DAN PERANCANGAN III.1. Analisa Sub bab ini berisikan tentang analisa sistem yang akan dibangun. Sub bab ini membahas teknik pemecahan masalah yang menguraikan sebuah sistem menjadi

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

UNSUR UTAMA PENGELOLAAN LABORATORIUM SOP PENGOPERASIAN ALAT KATEGORI 2. GPS U-Blok NEO 6M

UNSUR UTAMA PENGELOLAAN LABORATORIUM SOP PENGOPERASIAN ALAT KATEGORI 2. GPS U-Blok NEO 6M Halaman 1 dari 4 halaman UNIVERSITAS TRUNOJOYO MADURA LAB. Energi Terbarukan TEKNIK ELEKTRO UNSUR UTAMA PENGELOLAAN LABORATORIUM No Dokumen : 004/IK-AK2/LABEE-FT/2016 Tanggal Berlaku : 5 September 2016

Lebih terperinci

BAB III ANALISA DAN PERANCANGAN

BAB III ANALISA DAN PERANCANGAN BAB III ANALISA DAN PERANCANGAN III.1 Analisa Pada bab ini akan dilakukan analisa terhadap sistem pembelajaran mengenai seni dan budaya, pembelajaran pengenalan seni dan budaya yang dirancang menggunakan

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS

BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS BAB III PERANCANGAN ALAT SIMULASI PEGENDALI LAMPU JARAK JAUH DAN DEKAT PADA KENDARAAN SECARA OTOMATIS Pada bab ini menjelaskan tentang perancangan dan pembuatan alat simulasi Sistem pengendali lampu jarak

Lebih terperinci