Praktikum Sistem Digital Lanjut Percobaan 5: Desain Rangkaian Sekuensial

Ukuran: px
Mulai penontonan dengan halaman:

Download "Praktikum Sistem Digital Lanjut Percobaan 5: Desain Rangkaian Sekuensial"

Transkripsi

1 Praktikum Sistem Digital Lanjut Percobaan 5: Desain Rangkaian Sekuensial 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk membuat rangkaian yang menampilkan keluaran LED secara sekuensial yang dikontrol oleh pemutaran rotary-knob dan tombol push-button. Konsep yang ingin dipahami adalah rancangan rangkaian sekuensial menggunakan FSM (Finite State Machine). Kegiatan praktikum ini bertujuan untuk membuat generator sinyal clock yang dapat diatur keluaran frekuensinya. Sasaran kegiatan praktikum adalah: 1. Praktikan dapat memahami rangkaian sekuensial menggunakan diagram Moore; 2. Praktikan dapat mengimplementasikan rancangan tersebut untuk mengontrol nyala LED secara sekuensial dengan pemutaran rotary-knob dan/atau tombol push-button; 3. Praktikan dapat menganalisis hasil implementasi; 4. Praktikan dapat menganalisis perilaku masukan-keluaran desain di board Starter Kit; Sumber referensi yang bisa digunakan: 1. UG230: Spartan-3E FPGA Starter Kit Board User Guide, Xilinx, June Ken Chapman: Rotary Encoder Interface for Spartan-3E Starter Kit, Xilinx, Spartan-3E Starter Board Schematic, Digilent, Feb Xilinx ISE Design Suite 11 Software Manual, Xilinx, Verilog Tutorial (online): 2 Alat dan Bahan Alat dan bahan yang digunakan adalah: 1. Board Starter Kit Spartan-3E berbasis Xilinx FPGA XC3S500E-4FG320C; Modul (komponen) I/O yang akan digunakan dalam praktikum: a) 4 buah tombol push-button (BTN North, BTN East, BTN South, BTN West); b) 1 buah rotary-knob (ROT-A/B); c) 8 buah LED (LD0-7); d) Sumber clock 50MHz dan konektor SMA; 2. Kabel USB dengan konektor tipe-b; 3. Osiloskop digital; 4. Adaptor sumber daya DC 5 Volt; 5. Software Xilinx ISE Webpack 11.1;Dasar Teori 3 Dasar Teori Di bab ini dijelaskan tentang rotary-encoder (di komponen rotary-knob), sumber clock di starter kit dan FSM (Finite State Machine). Penjelasan tentang komponen lain yang digunakan

2 telah dijabarkan di praktikum sebelumnya. 3.1 Rotary-Knob dan Enkoder Putar Rotary-knob berisi tombol tekan dan enkoder putar yang digunakan sebagai masukan. Tombol tekan dan eknoder putar akan menghasilkan sinyal keluaran ROT_CENTER, ROT_A dan ROT_B (Gambar 1). Rotary-enkoder menggunakan sistem mekanik yang mungkin rentan terhadap bouncing. Untuk mengatasinya digunakan filter (Gambar 2). Gambar 1: Tombol tekan dan enkoder putar di rotary-knob beserta sinyal-sinyal keluarannya (UG320, Ken Chapman) Gambar 2: Bouncing dan hasil keluaran enkoder hasil dari filter (Ken Chapman)

3 3.2 Sumber Clock di Starter Kit Dalam starter kit terdapat sumber clock on-board 50MHz. Selain itu, sumber clock juga bisa diperoleh dari eksternal melalui sebuah konektor tipe-sma (CLK_SMA). Dalam praktikum, sumber clock akan menggunakan clock on-board 50MHz, sedangkan keluaran clock akan dilewatkan melalui konektor CLK_SMA untuk diukur frekuensinya dengan osilopkop (Gambar 3). Koneksi clock ini ditunjukkan dalam Gambar 4. IO9(D7) IO10(C7) IO11(F8) IO12(E8) GND VCC IO12 digunakan sebagai port CLK_OUT Gambar 3: Sumber clock dan port keluaran clock yang akan digunakan dalam praktikum (Sumber: UG320) Gambar 4: Koneksi sumber clock dan CLK_SMA serta definisi UCF 3.3 Finite State Machine (FSM) Rangkaian sekuensial keluarannya tergantung dari masukannya saat itu dan masukan sebelumnya. Dalam rangkaian ini terdapat elemen penyimpan (storage) berupa flip-flop. Flipflop dapat menyimpan 1-bit data masukan. Register n-bit tersusun atas n buah flip-flop, sehingga dapat menyimpan data masukan sejumlah n-bit. Rangkaian sekuensial dapat disebut juga rangkaian FSM (finite state machine). Rangkaian sekuensial sinkron menggunakan sebuah clock untuk mengontrol operasinya. Rangkaian ini direalisasikan menggunakan logika kombinasional dan satu atau beberapa flip-flop. Model yang bisa digunakan adalah (Gambar 5): 1. model Moore: keluaran rangkaian tergantung hanya pada state (keadaan) rangkaian saat ini; 2. model Mealy: keluaran rangkaian tergantung pada state saat ini dan masukan utamanya;

4 Next-state logic Current-state logic Output logic Gambar 5: Model FSM: Moore dan Mealy 4 Cara Kerja Kegiatan praktikum dilakukan untuk memenuhi kebutuhan desain yang diinginkan. Setiap tahap dilakukan berdasarkan cara kerja yang diuraikan dalam Subbab 4.2. Hasil kegiatan praktikum yang ditandai dengan ikon dituliskan dalam Lembar Isian Kegiatan. Laporan akhir disusun dengan menyertakan hasil kegiatan praktikum. 4.1 Kebutuhan Desain Desain yang akan diimplementasikan dalam praktikum ini adalah: 1. Enkoder putar (ROT_ENC), menghasilkan keluaran Out 2-bit yang menandakan arah putaran (0x: tidak ada pemutaran, 11: ke kiri, 10: ke kanan, lainnya: tidak ada putaran); 2. Pencacah asinkron up-down 3-bit (COUNT_3B) menghasilkan nilai keluaran 0-7 dari input tombol tekan (BTN_*) dan enkoder puter; 3. Clock divider (CLOCK_DIV) membagi frekuensi sinyal masukan clk (50MHz) berdasarkan nilai masukan pembaginya (div), seperti ditunjukkan dalam Tabel 1; 4. Indikator frekeunsi (CLOCK_STAT) berlaku seperti dekoder 3-to-8; 5. 2 buah debouncer tombol, yaitu DEBOUNCER_UP untuk BTN_EAST (Up) dan DEBOUNCER_DOWN untuk BTN_WEST (Down); Desain tersebut dibuat sebagai modul dan akan diintegrasikan dalam satu top_module. Diagram blok top_module beserta koneksinya diperlihatkan dalam Gambar 6 dan Tabel 2. NORTH EAST SOUTH WEST ROT_A ROT_B CLK BTN_NORTH BTN_EAST BTN_SOUTH BTN_WEST ROT_A ROT_B CLK V4 H13 K17 D18 K18 G18 C9 DE BOUN CER Set Reset Out[2:0] clk COUNT_3B Gambar 6: Diagram blok sistem yang dirancang Up Down rlrot[1:0] 2 rot_a rot_b rlrot[1:0] clk ROT_ENC 3 in[2:0] top_module Out[7:0] CLOCK_STAT XC3S500E-4FG320C F9 E9 D11 C11 div[2:0] Clkout F11 Clkout2 E11 clk CLOCK_DIV E12 F12 A10 LED<7> LED<6> LED<5> LED<4> LED<3> LED<2> LED<1> LED<0> CLK_SMA LD7 LD6 LD5 LD4 LD3 LD2 LD1 LD0 CLKOUT

5 Nilai div masukan Pembagi Frekuensi keluaran MHz MHz ,5 MHz 3 8 6,25 MHz ,125 MHz ,5625 MHz ,25 khz ,625 khz Tabel 1: Nilai frekuensi keluaran CLK_DIV dari nilai masukan pembaginya 4.2 Langkah Kerja Kegiatan praktikum meliputi hal-hal sebagai berikut: 1. Menuliskan kode HDL untuk COUNT_3B, DEBOUNCER, ROT_ENC, CLOCK_STAT dan CLOCK_DIV sebagai modul. Masukkan modul tersebut dalam proyek desain_sekuensial; 2. Mengaplikasikan modul-modul tersebut dalam satu top_module (sekuensial_top); 3. Menambah file konstrain desain_sekuensial.ucf ; Nama sinyal (top) top_modul Koneksi modul Keterangan BTN_NORTH Set COUNT_3B Set frek ke max BTN_WEST Down DEBOUNCER_DOWN Turunkan frekuensi BTN_EAST Up DEBOUNCER_UP Naikkan frekuensi BTN_SOUTH Reset COUNT_3B Reset frek ke min ROT_A rot_a ROT_ENC Putar kanan: naikkan frek ROT_B rot_b ROT_ENC Putar kiri: turunkan frek CLK clk Semua, kecuali CLOCK_STAT Sumber clock LED<7> Out[7] CLOCK_STAT LED<6> Out[6] CLOCK_STAT LED<5> Out[5] CLOCK_STAT Indikator frekuensi LED<4> Out[4] CLOCK_STAT LED[7] frekuensi paling LED<3> Out[3] CLOCK_STAT tinggi, sedangkan LED[0] LED<2> Out[2] CLOCK_STAT frekuensi paling rah LED<1> Out[1] CLOCK_STAT LED<0> Out[0] CLOCK_STAT CLK_SMA Clkout CLOCK_DIV Keluaran clock CLK_OUT Clkout2 CLOCK_DIV Buffer dari CLK_SMA Tabel 2: Koneksi sinyal di top_module dengan FPGA 4. Mensintesis dan mengimplementasikan sekuensial_top; 1. Melihat skematik RTL atau skematik teknologi; 2. Melihat utilisasi device yang digunakan oleh desain; 5. Membangkitkan file programming sekuensial_top.bit; 6. Memprogram file *.bit tersebut dan mengamati perilaku sistem;

6 4.2.1 Membuat Proyek Baru Langkah-langkah membuat proyek baru: 1. Pilih menu File New Project (Alt+F W). Dialog pop-up New Project Wizard akan muncul. Ketikkan field Name dengan nama proyek (Format: <nama_kelompok>- Modul5-DesainSekuensial). Browse lokasi proyek/location (folder $HOME_DIR/<nama_kelompok>). Isi field Description dengan penjelasan tentang proyek. Tipe source top-level menggunakan HDL Tuliskan field Name, Location dan Description dalam lembar kegiatan; 2. Klik tombol Next. Jela Device Properties muncul. Pilih Family (Spartan3E), Device (XC3S500E), Package (FG320) dan Speed (-4). Properti ini adalah devicedepent (Starter Kit menggunakan FPGA XC3S500E-4FG320C), jadi harus dimasukkan dengan benar. Set Preferred Language dengan Verilog Catat field Family, Device, Package dan Speed ini dalam lembar kegiatan; Menambah Modul Desain dan Konstrain Modul yang perlu ditambahkan ada 5 buah, yaitu count_3b, debouncer, rot_enc, clock_stat dan clock_div. Langkah-langkahnya adalah sebagai berikut: 1. Buat modul-modul verilog seperti dalam Tabel 3. Masukkan dalam proyek; No Nama Modul Masukan Keluaran Keterangan 1. count_3b set reset up down rlrot[1:0] clk y_out[2:0] Modifikasi dari count_1 (bab IV) dengan menghilangkan mode dan y_out menjadi 3 bit. Tambahan input rlrot 2-bit (R/L) untuk counting dari enkoder rotary 2. debouncer clk in_state 3. rot_enc rot_a rot_b clk 4. clock_div div[2:0] clk out_state rlrot[1:0] clk_out clk_out2 Switch debouncer, sama dengan bab IV Enkoder putar. rlrot menandakan putar kanan/kiri Pembagi frekuensi clock 5. clock_stat data_in[2:0] data_out[7:0] Display nilai frekuensi clock dalam simbol Tabel 3: Nama modul-modul desain yang akan dibuat 2. Edit file count_3b.v, debouncer.v, rot_enc.v, clock_div.v dan clock_stat.v. Listing kode sumber HDL untuk semua desain modul ada dalam Lampiran; (Tugas) Jelaskan perilaku tiap kode HDL tersebut. Gambarkan diagram dan tabel state dari modul count_3b menggunakan model Moore (Tugas) Jelaskan kerja dari clock divider. Gambarkan diagram pewaktuan sinyal masukan dan keluaran dari modul clock_div 3. Tambahkan file konstrain desain_sekuensial.ucf. Isi file tersebut ada dalam Lampiran;

7 4.2.3 Mengaplikasikan Modul dalam Desain (Top Module) Ketiga modul tersebut di atas akan diaplikasikan dalam satu top_module (desain berbasis komponen). Langkah yang diperlukan adalah: 1. Buat top_module yang mengintegrasikan kedua modul tersebut dengan nama sekuensial_top. Definisi port masukan dan keluaran dari sekuensial_top ini ditunjukkan dalam Tabel 4; 2. Panggil ketiga modul dari sekuensial_top ini dan interkoneksikan port-portnya. Listing kode sumber HDL untuk sekuensial_top.v ada dalam Lampiran. Tampilan ISE setelah integrasi ditunjukkan dalam Gambar 7; No Nama Modul Masukan Keluaran Keterangan 1. sekuensial_top BTN_NORTH, BTN_EAST, BTN_SOUTH, BTN_WEST, CLK ROT_A ROT_B LED[7:0] CLK_SMA CLK_OUT Tabel 4: Nama port masukan dan keluaran di modul sekuensial_top.v Gambar 7: Modul-modul yang diperlukan diintegrasikan ke sekuensial_top Sintesis dan Implementasikan Top Modul Modul sekuensial_top akan disintesis dan diimplementasikan. Langkah-langkahnya adalah sebagai berikut: 1. Cek apakah modul sekuensial_top sudah menjadi top_module. Hanya top_module yang bisa disintesis dan diimplementasikan. Jika belum, klik kanan modul tersebut dan pilih 'Set as Top Module'; 2. Bangkitkan skematik RTL dari sekuensial_top. Klik View RTL Schematic dari proses Synthesize dan juga View Technology Schematic. Tambahkan elemen yang tersedia

8 dan klik tombol Create Schematic. Lihat blok dari elemen dan bagaimana isinya (rangkaian logikanya); Simpan gambar blok elemen beserta rangkaian skematiknya dalam file gambar (dengan snapshot). Skematik ini beserta penjelasannya harus dilampirkan di laporan (Tugas) Jelaskan perbedaan antara skematik RTL dan skematik teknologi (secara terminologi dan konseptual) 3. Sintesis dan implemen kombinasional_top dengan mengklik kanan proses Implement Design dan pilih Rerun All ; Lihat ringkasan laporan desain dari implementasi kombinasional_top tersebut dengan melihat tab Design Summary. Jika belum ada, klik menu Project Design Summary/Report. Catat hasilnya untuk mengisi tabel utilisasi device di lembar kegiatan; Memprogram FPGA dan Pengamatan Perilaku Sistem Perilaku sistem diamati dengan melihat keluaran dari masukan yang diberikan. Keadaan keluaran ditunjukkan oleh LED dan sinyal gelombang CLK_OUT. Osiloskop digital (DS1052E) digunakan untuk melihat bentuk sinyal CLK_OUT. Channel yang digunakan untuk praktikum ini adalah CH1 (konsultasikan penggunaan osiloskop ini dengan asisten). Langkah percobaan: 1. Bangkitkan file programming sekuensial_top.bit; 2. Jalankan Xilinx impact jika belum dijalankan. Pastikan kabel USB telah terpasang di starter kit dan komputer; 3. Dari Xilinx impact, klik ganda Boundary Scan. Kemudian klik kanan dan pilih Initialize Chain (Ctrl+I) untuk menginisialisasi Boundary Scan; 4. Yang diperlukan adalah mengkonfigurasi FPGA, sedangkan flash XCF04S dan CPLD XC2C64 dibiarkan bypass. Klik kanan device FPGA dan pilih Assign New Configuration File. Pilih file sekuensial_top.bit untuk diprogram ke FPGA. Nama file konfigurasi akan tampil di bawah device FPGA; 5. Sambungkan kabel osiloskop digital ke kanal CH1. Sinyal keluaran CLK_OUT diukur dari port IO12/E8. Sinyal CLK_OUT dapat diprobe dengan osiloskop dari port IO12 ini dan GND; 6. Klik kanan device FPGA dan pilih Program untuk mengkonfigurasi FPGA; 7. Nyalakan osiloskop. Klik tombol AUTO di osiloskop dan tombol Measure. Tombol Measure digunakan untuk melihat properti sinyal (misalnya frekuensi, periode, risetime, falltime, dll). Tampilkan semua properti sinyal dengan memilih Display All ; 8. Perilaku keluaran dapat diamati dengan menekan tombol BTN_* (Set, Reset, Up, Down) dan memutar rotary knob untuk mapatkan frekuensi sinyal CLK_OUT yang bersesuaian; Amati perilaku sistem (dari keadaan LED dan sinyal yang ditunjukkan di osiloskop) dan isi tabel di lembar kegiatan; Bandingkan frekuensi yang diperoleh dengan Tabel 1

9 4.3 Lampiran Kode HDL Nama file modul bersesuaian dengan nama modulnya. File desain_sekuensial.ucf juga disertakan debouncer: debouncer.v module debouncer( input clk, input in_state, output out_state ); module parameter NBITS = 16; reg [NBITS 1:0] COUNT; reg PB_sync_0; reg PB_sync_1; reg PB_state; clk) PB_sync_0 <= ~in_state; clk) PB_sync_1 <= PB_sync_0; wire PB_idle = (PB_state==PB_sync_1); wire max_count = &COUNT; clk) if (PB_idle) COUNT <= 0; else COUNT <= COUNT + 1; if (max_count) PB_state <= ~PB_state; assign out_state = PB_state; count_3b: count_3b.v module count_3b( input set, input reset, input up, input down, input [1:0] rlrot, input clk, output [2:0] y_out ); parameter MAX_COUNT = 7; parameter ROT_RIGHT = 2'b11; parameter ROT_LEFT = 2'b10; reg delay_up; reg delay_down; reg [2:0] cur_state, next_state; reg [2:0] out_reg;

10 module initial cur_state = 3'b111; /*The lowest frequency*/ /*Current State Logic : Sequential*/ clk) delay_down <= down; delay_up <= up; if (reset==1) cur_state <= MAX_COUNT; /*Reset, the lowest frequency*/ else if (set==1) cur_state <= 0; /*Set, the highest frequency*/ else cur_state <= next_state; /*Next state logic: combinational*/ if (((up==1) && (delay_up==0)) (rlrot==rot_right) ) /*increase freq will decrease div*/ next_state = (cur_state==0)?0:cur_state 1; else if (((down==1) & (delay_down==0)) (rlrot==rot_left)) next_state = (cur_state==max_count)?max_count:cur_state+1; else next_state = cur_state; /*Cur State & Output*/ out_reg <= cur_state; assign y_out = out_reg; rot_enc: rot_enc.v module rot_enc( input rot_a, input rot_b, input clk, output [1:0] rlrot ); reg rot_a_in; reg rot_b_in; reg [1:0] rot_in; reg rot_q1; reg delay_rot_q1; reg rot_q2; reg rot_event; reg rot_left; /*Rotary filter*/ clk) rot_a_in <= rot_a; rot_b_in <= rot_b; rot_in <= {rot_b_in, rot_a_in};

11 case (rot_in) 2'b00: rot_q1 <= 0; rot_q2 <= rot_q2; 2'b01: rot_q1 <= rot_q1; rot_q2 <= 0; 2'b10: rot_q1 <= rot_q1; rot_q2 <= 1; 2'b11: rot_q1 <= 1; rot_q2 <= rot_q2; default: rot_q1 <= rot_q1; rot_q2 <= rot_q2; case /*Rotary direction*/ clk) delay_rot_q1 <= rot_q1; if ((rot_q1 == 1) && (delay_rot_q1 == 0)) module rot_event <= 1; else rot_event <= 0; assign rlrot = {rot_event, rot_left}; clock_div: clock_div.v rot_left <= rot_q2; rot_left <= rot_left; module clock_div( input [2:0] div, input clk, output clk_out, output clk_out2 /*is the same as clk_out*/ ); module reg [6:0] clk_cnt; reg clk_out_reg; clk) clk_cnt <= clk_cnt + 1; or div) clk_out_reg <= (div==0)?clk:clk_cnt[div 1]; assign clk_out = clk_out_reg; assign clk_out2 = clk_out_reg;

12 4.3.5 clock_stat: clock_stat.v module clock_stat( input [2:0] data_in, output [7:0] data_out ); /* // Procedural reg [7:0] data_out_reg; case (data_in) 3'b000: data_out_reg <= 8'b ; 3'b001: data_out_reg <= 8'b ; 3'b010: data_out_reg <= 8'b ; 3'b011: data_out_reg <= 8'b ; 3'b100: data_out_reg <= 8'b ; 3'b101: data_out_reg <= 8'b ; 3'b110: data_out_reg <= 8'b ; 3'b111: data_out_reg <= 8'b ; case assign data_out = data_out_reg */ assign data_out = (8'b << data_in); /*Structural*/ module desain_sekuensial.ucf # Period constraint for 50MHz operation NET "CLK" PERIOD = 20.0ns HIGH 50%; # soldered 50MHz Clock. NET "CLK" LOC = "C9" IOSTANDARD = LVTTL; NET "CLK_SMA" LOC = "A10" IOSTANDARD = LVTTL; NET "CLK_OUT" LOC = "E8" IOSTANDARD = LVTTL; # # Koneksi tombol tekan NET "BTN_EAST" LOC = "H13" IOSTANDARD = LVTTL PULLDOWN ; NET "BTN_NORTH" LOC = "V4" IOSTANDARD = LVTTL PULLDOWN ; NET "BTN_SOUTH" LOC = "K17" IOSTANDARD = LVTTL PULLDOWN ; NET "BTN_WEST" LOC = "D18" IOSTANDARD = LVTTL PULLDOWN ; # Koneksi LED NET "LED<7>" LOC = "F9" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<6>" LOC = "E9" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<5>" LOC = "D11" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<4>" LOC = "C11" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<3>" LOC = "F11" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<2>" LOC = "E11" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<1>" LOC = "E12" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; NET "LED<0>" LOC = "F12" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; # Koneksi Rotary Knob #NET "ROT_CENTER" LOC = "V16" IOSTANDARD = LVTTL PULLDOWN CLOCK_DEDICATED_ROUTE = FALSE; NET "ROT_A" LOC = "K18" IOSTANDARD = LVTTL PULLUP ; NET "ROT_B" LOC = "G18" IOSTANDARD = LVTTL PULLUP ;

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8

1 Tujuan dan Sasaran. 2 Alat dan Bahan. 3 Dasar Teori. Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 Praktikum Sistem Digital Lanjut Percobaan 3: Dekoder 3-ke-8 dan Demultiplekser 1-ke-8 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional di board

Lebih terperinci

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori

Praktikum Sistem Digital Lanjut 1 Tujuan dan Sasaran 2 Alat dan Bahan 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 2: Multiplekser 4 Masukan dan Enkoder Prioritas 4-ke-2 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengimplementasikan blok rangkaian kombinasional

Lebih terperinci

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori

1 Tujuan dan Sasaran. 2 Alat, Software Bantu dan Komponen. 3 Dasar Teori Praktikum Sistem Digital Lanjut Percobaan 1: Pengenalan Xilinx ISE dan Sistem Masukan-Keluaran 1 Tujuan dan Sasaran Kegiatan praktikum ini bertujuan untuk mengenalkan praktikan software Xilinx ISE Webpack

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

Pengenalan FPGA oleh Iman Taufik Akbar

Pengenalan FPGA oleh Iman Taufik Akbar Pengenalan FPGA oleh Iman Taufik Akbar Tutorial singkat ini akan membahas mengenai FPGA (Field Programmable Gate Array). Adapun FPGA yang akan digunakan adalah produk dari Digilent yang menggunakan Xilinx

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit MODUL 4 Kalkulator 4-bit I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mendisain beberapa sub-disain dari sebuah sistem besar stopwatch menggunakan VHDL. Sub-disain yang dibuat ada empat

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Perancangan Aritmetic Logic Unit (ALU) pada FPGA MODUL III Perancangan Aritmetic Logic Unit (ALU) pada FPGA I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan Aritmetic Logic Unit (ALU) pada IC FPGA dengan pendekatan

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD

TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD TUTORIAL PEMROGRAMAN PADA FPGA XILINX VIRTEX 5 ML506 BOARD A. Pendahuluan Virtex-5 ML506 Board merupakan salah jenis FPGA yang menggunakan prosesor Virtex-5. Virtex 5 merupakan salah satu produk dari Xilinx.

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto TKC305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Fakultas Teknik Universitas Diponegoro Review Kuliah Desain rangkaian sekuensial sinkron FSM (Finite State Machine): diagram state, tabel state

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Reno Rasyad (13511045) Yonas Dwiananta (18011015) Asisten: Rizka Widyarini Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik

Lebih terperinci

ELKAHFI 200 TELEMETRY SYSTEM

ELKAHFI 200 TELEMETRY SYSTEM ELKAHFI 200 TELEMETRY SYSTEM User Manual Edisi September 2006 ELKAHFI Design & Embedded System Solution Daftar Isi Pengenalan Elkahfi Telemetry System Pendahuluan 1 Kelengkapan Telemetry System 2 Spesifikasi

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Alat dan Bahan Alat dan bahan yang digunakan pada tugas akhir ini yaitu berupa hardware dan software. Table 3.1. merupakan alat dan bahan yang digunakan. Tabel 3.1. Alat dan

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

BAB II Sintesis Rangkaian Sekuensial Pulse Mode

BAB II Sintesis Rangkaian Sekuensial Pulse Mode Pertemuan ke 3 1 BAB II Sintesis Rangkaian Sekuensial Pulse Mode Deskripsi Pada bab ini akan dibahas tentang finite state machine, rangkaian mealy dan moore, prosedur perancangan dan translasi dari mealy

Lebih terperinci

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto TKC210 - Teknik Interface dan Peripheral Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Bahasan Kuliah Koneksi peripheral I/O sederhana ke mikrokontroler secara langsung (direct I/O) dan terprogram

Lebih terperinci

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO

MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO MODUL PELATIHAN MIKROKONTROLLER UNTUK PEMULA DI SMK N I BANTUL OLEH: TIM PENGABDIAN MASYARAKAT JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS MUHAMMADIYAH YOGYAKARTA 2016 MIKROKONTROLER UNTUK PEMULA

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL Satria Indrawan Putra (18011034) Albhikautsar Dharma Kesuma (13511058) Asisten: Luqman Muh. Zagi (13208032) Tanggal Percobaan: 06/12/12 EL2195-Praktikum Sistem

Lebih terperinci

BAB IV METODE KERJA PRAKTEK

BAB IV METODE KERJA PRAKTEK BAB IV METODE KERJA PRAKTEK sebagai berikut : Metode yang digunakan dalam pengerjaan kerja praktek ini adalah 1. Wawancara, yaitu bertanya secara langsung kepada asisten laboratorium mikrokontroler untuk

Lebih terperinci

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH

JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH JOBSHEET II ANTARMUKA MIKROKONTROLER DENGAN TOGGLE SWITCH 1 TUJUAN Mengetahui dan memahami cara mengantarmukakan mikrokontroler dengan rangkaian input saklar toggle. Mengetahui dan memahami bagaimana memrogram

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

Bab XI, State Diagram Hal: 226

Bab XI, State Diagram Hal: 226 Bab XI, State Diagram Hal: 226 BAB XI, STATE DIAGRAM State Diagram dan State Table Untuk menganalisa gerbang yang dihubungkan dengan flip-flop dikembangkan suatu diagram state dan tabel state. Ada beberapa

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESKRIPSI DAN PERANCANGAN SISTEM BAB III DESKRIPSI DAN PERANCANGAN SISTEM 3.1. DESKRIPSI KERJA SISTEM Gambar 3.1. Blok diagram sistem Satelit-satelit GPS akan mengirimkan sinyal-sinyal secara kontinyu setiap detiknya. GPS receiver akan

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM 25 BAB III PERANCANGAN SISTEM Sistem monitoring ini terdiri dari perangkat keras (hadware) dan perangkat lunak (software). Perangkat keras terdiri dari bagian blok pengirim (transmitter) dan blok penerima

Lebih terperinci

PERCOBAAN 2. FLIP-FLOP

PERCOBAAN 2. FLIP-FLOP PECOBAAN 2. FLIP-FLOP 2.. UUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membedakan sifat dasar S-FF dengan dan tanpa clock Membuat rangkaian Master Slave K-FF Menggunakan input-input

Lebih terperinci

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL Agfianto Eko Putra 1, Rahadian Mu alif 2 1,2 Program Studi Elektronika dan Instumentasi, Jurusan Ilmu Komputer dan Elektronika,

Lebih terperinci

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter

BAB III COUNTER. OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter B III COUNTER OBYEKTIF : - Memahami jenis-jenis counter - Mampu merancang rangkaian suatu counter 3.1 Counter secara umum Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristik

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3.

BAB 4 IMPLEMENTASI DAN EVALUASI. evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. BAB 4 IMPLEMENTASI DAN EVALUASI Pada bab ini akan dijelaskan mengenai langkah-langkah implementasi serta evaluasi dari sistem yang telah dibuat dan dijelaskan pada Bab 3. 4.1 Spesifikasi Sistem Pada spesifikasi

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT Dalam bab ini akan dibahas mengenai prinsip kerja rangkaian yang disusun untuk merealisasikan sistem alat, dalam hal ini Bluetooth sebagai alat komunikasi penghubung

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM

BAB III ANALISIS DAN PERANCANGAN SISTEM BAB III ANALISIS DAN PERANCANGAN SISTEM 3.1 Perancangan Sistem Perancangan Simulasi pengendali pintu gerbang Melalui media Bluetooth pada Ponsel bertujuan untuk membuat sebuah prototype yang membuka, menutup

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN Metodologi penelitian yang digunakan dalam perancangan sistem ini antara lain studi kepustakaan, meninjau tempat pembuatan tahu untuk mendapatkan dan mengumpulkan sumber informasi

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Perangkat Keras Perancangan perangkat keras pada sistem keamanan ini berupa perancangan modul RFID, modul LCD, modul motor. 3.1.1 Blok Diagram Sistem Blok diagram

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS

BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS BAB III PERANCANGAN DAN PEMBUATAN PERANGKAT KERAS 3.1. Pendahuluan Perangkat pengolah sinyal yang dikembangkan pada tugas sarjana ini dirancang dengan tiga kanal masukan. Pada perangkat pengolah sinyal

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER PRODI D3 METROLOGI DAN INSTRUMENTASI JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER SURABAYA

Lebih terperinci

PC-Link. 1x Komputer / Laptop dengan OS Windows 2000, Windows XP atau yang lebih tinggi. Gambar 1 Blok Diagram AN200

PC-Link. 1x Komputer / Laptop dengan OS Windows 2000, Windows XP atau yang lebih tinggi. Gambar 1 Blok Diagram AN200 PC-Link PC-Link Application Note AN200 GUI Digital Input dan Output Oleh: Tim IE Aplikasi ini akan membahas software GUI (Grapic User Interface) yang digunakan untuk mengatur Digital Input dan Output pada.

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Rancangan Sistem Rancangan sistem secara keseluruhan dapat dilihat pada gambar 3.1. Keyboard FPGA dan NanoBoard Monitor Gambar 3.1 Diagram Blok Sistem Dari blok diagram diatas

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro

dan Flip-flop TKC Sistem Digital Lanjut Eko Didik Widianto Sistem Komputer - Universitas Diponegoro Elemen : dan Elemen : dan TKC-305 - Sistem Digital Lanjut Eko Didik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang desain blok rangkaian kombinasional beserta HDLnya.

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab tiga ini akan dijelaskan mengenai perancangan dari perangkat keras dan perangkat lunak yang digunakan pada alat ini. Dimulai dari uraian perangkat keras lalu uraian perancangan

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M.

LAPORAN PRAKTIKUM TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO. DOSEN : DR. Satria Gunawan Zain, M. LAPORAN PRAKTIKUM KELAS PTIK 05 2014 TEKNIK KENDALI DIGITAL PERCOBAAN 1 PERANGKAT MASUKKAN DAN KELUARAN ARDUINO UNO DOSEN : DR. Satria Gunawan Zain, M.T NAMA NIM TANGGAL KUMPUL TANDA TANGAN PRAKTIKAN ASISTEN

Lebih terperinci

BAB IV HASIL DAN UJI COBA

BAB IV HASIL DAN UJI COBA BAB IV HASIL DAN UJI COBA IV.1. Software Instalasi merupakan hal yang sangat penting karena merupakan proses penginputan data dari komputer ke dalam mikrokontroler. Sebelum melakukan instalasi, hubungkan

Lebih terperinci

III. METODE PENELITIAN. Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro

III. METODE PENELITIAN. Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro 37 III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian tugas akhir ini akan dilakukan di Laboratorium Terpadu Teknik Elektro Universitas Lampung. Penelitian dimulai pada bulan Februari 2011

Lebih terperinci

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R

BAB 4 RANGKAIAN LOGIKA DIGITAL SEKUENSIAL. 4.1 Flip-Flop S-R BAB 4 RANGKAIAN LOGIKA IGITAL SEKUENSIAL Telah kita pelajari tentang unit logika kombinasional yang keluarannya hanya tergantung pada masukan saat itu atau dengan kata lain keluarannya merupakan fungsi

Lebih terperinci

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN...

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN... DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... Halaman DAFTAR LAMPIRAN... xviii DAFTAR ISTILAH DAN SINGKATAN... BAB I PENDAHULUAN 1.1 Latar

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA SISTEM

BAB IV PENGUJIAN DAN ANALISA SISTEM BAB IV PENGUJIAN DAN ANALISA SISTEM Bab ini akan membahas pengujian dan analisa sistem yang telah dibuat sebelumnya. Pengujian dilaksanakan secara berulang untuk mendapatkan data yang valid, data yang

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

PC-Link Application Note

PC-Link Application Note PC-Link Application Note AN126 Emulasi SPI Menggunakan PC-Link USBer Oleh: Tim IE Sebuah contoh lagi mengenai penggunaan modul PC-Link USBer dengan menggunakan bahasa pemrograman Borland Delphi. Aplikasi

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM 3.1 Rancangan Perangkat Keras 3.1.1 Blok Diagram Sistem Blok diagram dari sistem AVR standalone programmer adalah sebagai berikut : Tombol Memori Eksternal Input I2C PC SPI AVR

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Tutorial Singkat Menggunakan Altium Design Winter/ Protel Dxp. Oleh : Ardya Dipta N 13206180 ardviri2002@yahoo.com / ardyadipta@gmail.

Tutorial Singkat Menggunakan Altium Design Winter/ Protel Dxp. Oleh : Ardya Dipta N 13206180 ardviri2002@yahoo.com / ardyadipta@gmail. Oleh : Ardya Dipta N 13206180 ardviri2002@yahoo.com / ardyadipta@gmail.com Altium Design Winter adalah program yang digunakan untuk mendesain PCB. Pada altium 2009 ini, fitur yang diberikan Altium sudah

Lebih terperinci

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS

How2Use DT-51 AT89C51XXX BMS. Oleh: Tim IE. Gambar 1 Tata Letak DT-51 AT89C51XXX BMS DT-51 AT89C51XXX BMS Application Note Oleh: Tim IE Application Note (AN) ini disusun untuk memberikan penjelasan tentang cara penggunaan DT-51 AT89C51XXX Bootloader Micro System beserta software pendukungnya.

Lebih terperinci

BAB IV ANALISA DAN PENGUJIAN ALAT

BAB IV ANALISA DAN PENGUJIAN ALAT 37 BAB IV ANALISA DAN PENGUJIAN ALAT 4.1 Tujuan Pengukuran dan Pengujian Pengukuran dan pengujian alat bertujuan agar dapat diketahui sifat dan karakteristik tiap blok rangkaian dan fungsi serta cara kerja

Lebih terperinci

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom

Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Dosen Pembimbing : 1.Dr. Muhammad Rivai, ST, MT 2.Ir. Totok Mujiono M.I. Kom Latar Belakang Industri yang ada saat ini menghhasilkan gas yang berbahaya bagi manusia. Sensor QCM 20 Mhz mempunyai sensitivitas

Lebih terperinci

A/D, D/A CONVERTER ASSEMBLY USER S MANUAL

A/D, D/A CONVERTER ASSEMBLY USER S MANUAL A/D, D/A ASSEMBLY USER S MANUAL Apa itu converter? Untuk menghubungkan sistem komputer dengan alat-alat peripheral lain dibutuhkan interface. Kentac 825 adalah sebuah konverter yang bisa merubah sinyal

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

PC-Link. PC-Link. Application Note AN202

PC-Link. PC-Link. Application Note AN202 PC-Link PC-Link Application Note AN202 GUI Analog Output (DAC) Oleh: Tim IE Aplikasi ini akan membahas software GUI (Grapic User Interface) yang digunakan untuk mengatur Analog Output DAC (Digital to Analog

Lebih terperinci

DT-ARM Application Note. AN221 Web Server I/O Remote

DT-ARM Application Note. AN221 Web Server I/O Remote DT-ARM DT-ARM Application Note AN221 Web Server I/O Remote Menggunakan DT-ARM NUC120 Board dan EMS Ethernet Module Oleh: Tim IE Kontrol jarak jauh melalui jaringan LAN, WAN dan internet bisa kita buat

Lebih terperinci

Jobsheet Praktikum REGISTER

Jobsheet Praktikum REGISTER REGISTER A. Tujuan Kegiatan Praktikum - : Setelah mempraktekkan Topik ini, anda diharapkan dapat :. Mengetahui fungsi dan prinsip kerja register.. Menerapkan register SISO, PISO, SIPO dan PIPO dalam rangkaian

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 3.1 Model Pengembangan Tujuan dari tugas akhir ini adalah membuat pengaturan air dan nutrisi secara otomatis yang mampu mengatur dan memberi nutrisi A dan B secara otomatis berbasis

Lebih terperinci

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum

BAB VII FLIP FLOPS. Gate-gate logika kombinatorial. Elemenelemen. memori. Input-input eksternal. Gambar 7.1 Diagram Sistem Digital Umum BAB VII FLIP FLOPS Sejauh ini rangkaian logika yang telah dibahas adalah rangkaian logika kombinatorial yang level-level outputnya pada setiap saat tertentu tergantung kepada level-level yang terdapat

Lebih terperinci

PERCOBAAN 6 COUNTER ASINKRON

PERCOBAAN 6 COUNTER ASINKRON PERCOBAAN 6 COUNTER ASINKRON 6.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat Rangkaian dasar 3-bit Membuat Timing Diagram Counter Membuat MOD-n Membuat Up-Down 6.2.

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

Membuat Project dengan CodeVisionAVR.

Membuat Project dengan CodeVisionAVR. Membuat Project dengan CodeVisionAVR. Pada penjelasan berikutnya, sebagai contoh digunakan modul AVR yang mempunyai hubungan sebagai berikut: PortA terhubung dengan 8 buah LED dengan operasi aktif high

Lebih terperinci

BAB 3 PERANCANGAN ALAT DAN PROGRAM

BAB 3 PERANCANGAN ALAT DAN PROGRAM BAB 3 PERANCANGAN ALAT DAN PROGRAM Sistem akuisisi data ekonomis berbasis komputer atau personal computer (PC) yang dibuat terdiri dari beberapa elemen-elemen sebagai berikut : Sensor, yang merupakan komponen

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini membahas perencanaan dan pembuatan dari alat yang akan dibuat yaitu Perencanaan dan Pembuatan Pengendali Suhu Ruangan Berdasarkan Jumlah Orang ini memiliki 4 tahapan

Lebih terperinci

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin

BAB III PERANCANGAN ALAT. menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin BAB III PERANCANGAN ALAT 3.1 Perancangan Dalam pembuatan suatu alat diperlikan adanya sebuah rancangan yang menjadi acuan dalam proses pembuatannya, sehingga kesalahan yang mungkin timbul dapat ditekan

Lebih terperinci

BAB II DASAR TEORI Sensor Akselerometer ADXL345

BAB II DASAR TEORI Sensor Akselerometer ADXL345 BAB II DASAR TEORI Pada bab ini akan dibahas dasar teori penunjang sebagai pedoman dalam merancang dan merealisasikan skripsi ini. Teori-teori yang digunakan antara lain sensor akselerometer ADXL345, sensor

Lebih terperinci

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational

MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational MODUL II Perancangan FPGA untuk Implementasi Rangkaian Sequential dan Kombinational I. Tujuan Pada Percobaan ini praktikan akan mempelajari tentang bagaimana cara mengembangkan rangkaian logika sequential

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN APLIKASI

BAB III PERANCANGAN DAN PEMBUATAN APLIKASI BAB III PERANCANGAN DAN PEMBUATAN APLIKASI Dalam bab ini akan dibahas mengenai perancangan dan pembuatan aplikasi dengan menggunakan metodologi perancangan prototyping, prinsip kerja rangkaian berdasarkan

Lebih terperinci

PARAMETER GERBANG LOGIKA

PARAMETER GERBANG LOGIKA PARAMETER GERBANG LOGIKA Praktikan: Muhammad Abdul Jabbaar (13508072) Asisten: M. Ashr Sayuti Waktu Percobaan: 2 September 2010 EL2195 Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro Sekolah

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci