PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM

Ukuran: px
Mulai penontonan dengan halaman:

Download "PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM"

Transkripsi

1 63 PERBANDINGAN PEMODELAN LEVEL TRANSAKSI DAN LEVEL REGISTER TRANSFER PADA PERANCANGAN HARDWARE EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 3, Arif Sasongko 4 1 Fakultas Informatika, Institut Teknologi Telkom 1,2,3,4 Sekolah Teknik Elektro dan Informatika, Institut Teknologi Bandung 1 m_abdurohman@yahoo.com, 2 kuspriyanto@gmail.com, 3 sarwono@gmail.com, 4 sasongko@gmail.com Abstrak Peningkatan kinerja pemodelan pada perancangan embedded system merupakan salah satu tantangan dalam mengikuti perkembangan teknologi IC dan kebutuhan pasar. Perancangan yang lebih baik akan meningkatkan produktivitas perancangan dan memenuhi kebutuhan pasar. Pendekatan perancangan Embedded System yang saat ini digunakan adalah pemodelan pada level Register Transfer Level (RTL). Pada pemodelan RTL satuan komponen rancangan adalah register. Perancangan level RTL tidak dapat lagi memenuhi kompleksitas proses perancangan embedded system. Diperlukan suatu metode perancangan baru dengan abstraksi yang lebih tinggi dari RTL. Metode perancangan baru untuk meningkatkan kinerja proses perancangan adalah pemodelan level transaksi (TLM). Makalah ini membahas perbandingan perancangan hardware embedded system dengan menggunakan metode TLM dan RTL dengan menggunakan bahasa SystemC untuk memprogram aplikasinya. Hasil penelitian menunjukan bahwa pemodelan dengan metode TLM memiliki kinerja yang lebih baik dibandingkan dengan pemodelan level RTL. Kata Kunci: Transaction Level Modeling (TLM), SystemC, Register Transfer Level (RTL). Abstract Performance modeling enhancement on embedded system design is one of many challenges to face the growing of IC techonology and market necesity. Better modeling will incresae the productifity of modeling and accomplish the market necesity. The existing embedded system design approach is RTL level modeling. On RTL modeling, register is the design component. RTL design approach is lack of facing the complexity design process of embedded system. So, we need the new design method with higher abstraction layer more than RTL. The method that will increase performance of embedded system design process is transaction level modeling (TLM). In this paper we compared two embdedded system hardware design using TLM and RTL method. We use SystemC language to program both TLM and RTL application. The result shows that TLM method has better performance than RTL modeling. Keywords: Transaction Level Modeling (TLM), SystemC, Register Transfer Level (RTL). 1. Pendahuluan Salah satu tantangan dalam pengembangan perangkat embedded system adalah peningkatan metode perancangan. Perkembangan teknologi IC yang semakin cepat, mengacu pada hukum Moore, dan kebutuhan pasar, mendorong para perancang untuk mencari metode perancangan baru yang lebih fleksibel dan lebih baik. Saat ini perancangan embedded system mengacu pada level perancangan RTL (Register Transfer Level). Pada model perancangan RTL satuan terkecil rancangannya adalah register. Model perancangan RTL merupakan perbaikan dari proses perancangan sebelumnya yaitu perancangan level gerbang. Pada perancangan RTL satuan komponen perancangan adalah komponen yang di dalamnya terdapat komponen register seperti register file, ALU, memori, dan prosesor. Bahasa yang banyak digunakan pada pemodelan level RTL adalah VHDL dan Verilog. Keduanya populer digunakan oleh para perancang embedded system. Gambar 1. Peningkatan Kapasitas IC Sampai Tahun 2005 [5] Perkembangan teknologi IC yang saat ini terus berlanjut dan tekanan waktu pasar menjadi tantangan baru dalam perancangan embedded system. Sampai tahun 2005 (Gambar 1) tingkat kemampatan (density) IC mencapai lebih dari 1

2 64 miliar transistor per chip [10]. Peningkatan teknologi IC yang tidak diimbangi dengan peningkatan metode perancangan menyebabkan celah produktivitas perancang. Secara empiris, kemampuan sumber daya teknologi banyak yang tidak termanfaatkan dengan optimal salah satunya karena lambannya proses perancangan embedded system. Tekanan waktu pasar adalah rentang waktu yang diperlukan untuk memasuki pasar produk embedded system. Saat ini persaingan antar vendor semakin ketat. Ide bukanlah hal yang terlalu sulit dibandingkan dengan mewujudkannya. Semakin cepat suatu vendor memasuki pasar maka peluang mendapatkan keuntungan semakin besar. Kecepatan memasuki pasar adalah tergantung dari proses perancangan dan waktu pembuatan prototype produk. Kedua hal tersebut menjadikan produk embedded system semakin komplek dan menuntut fleksibilitas dari sisi pengembangan software dan hardware. Perancangan level RTL terlalu lamban untuk mengatasi kompleksitas dan fleksibilitas rancangan. Terdapat tujuh tahap dalam perancangan ES yaitu spesifikasi produk, pemisahan HW/SW, implementasi, perancangan HW/SW rinci, integrasi HW/SW, pengujian, dan pemeliharan [1]. Diperlukan metode perancangan baru yang dapat mengakomodasi kebutuhan tersebut. Metode dengan abstraksi yang lebih tinggi dari RTL yang dapat mengatasi kerumitan perancangan. Beberapa konsep perancangan Embedded System yang dirancang oleh para peneliti adalah Cosyma [7], System-Level Cosynthesis [3], IP Reuse [8], Hardware Software codesign [9] dan Electronic System Level [11]. Salah satu metode perancangan dengan abstraksi level rancangan yang lebih tinggi dari RTL adalah dengan pendekatan pemodelan level transaksi, Transaction Level Modeling (TLM). Pemodelan TLM berbeda dengan RTL dalam hal pemodelan interaksi antar komponen. Pada pemodelan TLM komunikasi antar komponen dipisahkan dengan komputasi komponen. Komunikasi antar komponen dilakukan dengan menggunakan suatu metode transaksi dengan memperlakukan proses transaksi sebagai pemanggilan fungsi. 2. Pemodelan Embedded System Embedded system adalah sebuah sistem yang terdiri dari hardware dan software yang dibuat dengan tujuan khusus yang biasanya ditanamkan pada sistem lain yang lebih besar. Dalam pembuatan suatu embedded system terdapat dua tahapan utama yaitu proses perancangan dan implementasi. Perancangan embedded system yang saat ini digunakan adalah perancangan level RTL. Perbaikan terhadap perancangan ini adalah dengan peningkatan abstraksi perancangan dengan pendekatan level TLM. Pada bagian ini akan dibahas kedua pendekatan pemodelan tersebut. 2.1 Pemodelan Register Transfer Level (RTL) Level register adalah abstraksi perangkat keras dengan satuan komponen terkecilnya adalah register. Register adalah komponen komputasi yang dibangun dari sekumpulan gerbang dasar dan flip-flop. Contoh komponen level ini adalah adder, multiplexer, decoder, dan memori. Usaha untuk meningkatkan kualitas perancangan telah dilakukan yaitu dengan meningkatkan level abstraksi perancangan dari level gerbang ke level transfer register, Register Transfer Level (RTL). Langkah ini sebenarnya termasuk langkah yang signifikan dan ada yang menyebutnya sebagai revolusi teknologi [12] bagi peningkatan produktivitas perancang. Perancang tidak perlu merancang pada level gerbang. Perancangan dimulai dengan mendefenisikan komponen-komponon diatas gerbang yaitu register. Berikut ini contoh rancangan ADDER dengan model RTL: entity ADDER is generic(n: natural :=2); port(a: in std_logic_vector(n-1 downto 0); B : in std_logic_vector(n-1 downto 0); carry: out std_logic; sum: out std_logic_vector(n-1 downto 0) ); end ADDER; architecture behv of ADDER is signal result: std_logic_vector(n downto 0); begin result <= ('0' & A)+('0' & B); sum <= result(n-1 downto 0); carry <= result(n); end behv; Terdapat dua buah bahasa perancangan level RTL yang dikenal luas dan telah ditetapkan menjadi standar oleh IEEE, yaitu Verilog dan VHDL. Keduanya, secara de facto, dianggap sebagai bahasa perancangan perangkat keras, Hardware Description Language (HDL). Pada awalnya, kedua bahasa ini adalah alat yang digunakan untuk menyimpan notasi hasil rancangan, bukan bahasa pemrograman umumnya. Para perancang yang telah membuat rancangan rangkaian menemukan kendala cara menyimpan hasil rancangannya. Dengan adanya HDL, maka perancang dapat menyimpan notasi rangkaian dalam bentuk kode program. Hasil rancangan yang telah dibuat sering diistilahkan sebagai kekayaan intelektual, Intelectual Property (IP). 2.2 Pemodelan Transaction Level Modeling (TLM) Metodologi untuk memodelkan perangkat keras saat ini terus dikembangkan dengan meningkatkan level abstraksi perancangan. Pada Jurnal Penelitian dan Pengembangan TELEKOMUNIKASI, Juni 2010, Vol. 15, No. 1

3 65 Fifo Channel Model TLM Model RTL ready Enable Data Model RTL Rinci Ack Gambar 2. Perbandingan Proses TLM dan RTL pemodelan level transaksi tidak dilakukan pendefinisian sinyal secara tunggal melainkan sekumpulan sinyal yang beroperasi pada tipe data abstrak, yang dapat meningkatkan kecepatan waktu simulasi. Dengan cara ini proses simulasi pada level transaksi jauh lebih cepat dibandingkan dengan pemodelan level RTL (Gambar 2). Level Transaksi adalah suatu abstraksi pemodelan yang menjembatani antara pemodelan Register Transfer Level (RTL) dengan penjelasan fungsionalitas sistem [4]. Konsep utama yang diperkenalkan oleh TLM adalah pemisahan komunikasi antar komponen dengan proses yang ada dalam komponen tersebut. Komunikasi dimodelkan sebagai channel yang dirancang sebagai satu abstraksi tingkat tinggi yang tidak menunjukan secara rinci proses yang ada di dalamnya. Dengan cara ini, TLM dapat meningkatkan kecepatan dalam proses simulasi perancangan. Pemodelan TLM dapat menghilangkan rincian waktu dan cycle. Dengan mengabaikan rincian waktu, fungsi-fungsi yang didefinisikan pada level yang lebih atas memungkinkan pemodelan yang lebih cepat. Meskipun tidak terlalu rinci, fungsional sistem tetap dipertahankan sesuai dengan spesifikasi awal rancangan. 3. Perancangan dan Implementasi Aplikasi TLM dan RTL Aplikasi yang dirancang pada bagian ini melibatkan beberapa buah komponen komunikasi yang saling berinteraksi (Gambar 3). Komponen dibedakan menjadi dua jenis yaitu master dan slave. Master adalah komponen yang memiliki inisiatif untuk berkomunikasi dengan komponen lain sementara slave adalah komponen yang melayani transaksi dari master. Contoh komponen master adalah prosesor dan digital signal processor, sementara slave seperti RAM dan ROM. 3.1 Kebutuhan Perangkat Keras dan Perangkat Lunak Perangkat keras yang digunakan pada penelitian ini memiliki karakteristik sebagai berikut: CPU Intel Pentium 4 2,80 GHz, RAM 512 MB, dan HD 40 GB. Gambar 3. Model TLM dan RTL 2-tiers Perangkat lunak yang diperlukan dalam perancangan sistem adalah: Cygwin 2 ( SystemC ( Java (jdk-6u4-windows-i586-p dan jre-6u3- windows-i586-p-s) dan Netbeans IDE 6.1. Aplikasi TLM dan RTL yang akan dibangun menggunakan bahasa pemodelan SystemC yang dijalankan di atas IDE Netbeans 6.1. Bahasa SystemC merupakan bahasa yang cocok digunakan untuk pemodelan level transaksi [2]. SystemC adalah Library C++ untuk perancangan perangkat keras [6]. 3.2 Skenario Pengujian Pengujian dilakukan untuk mengukur peningkatan kinerja pada perancangan. dengan menggunakan alur perancangan baru Pemodelan Level Transaksi (TLM) dibandingkan dengan pemodelan Level Register Transfer (RTL). Pada pengujian ini akan dilakukan beberapa skenario. Dalam pengujian ini dibuat model TLM dan RTL untuk satu fungsi tertentu yang melibatkan beberapa master dan slave. Pengukuran dilakukan dengan dibandingkan waktu proses yang diperlukan untuk membuat kedua model tersebut. Pengukuran waktu proses dilakukan dengan membandingkan jumlah line code kedua buah model yang masing-masing telah distandarisasi. Untuk menghindarkan deviasi yang terlalu lebar yang mungkin disebabkan oleh tingkat keahlian perancang maka proses yang diujikan adalah proses standar komunikasi master dengan slave yaitu proses read() dan write(). Aplikasi yang dibuat terdiri dari beberapa skenario uji yaitu: a. Pengujian model TLM-RTL 2-tiers: melibatkan 1 buah master dan 1 buah slave dengan menggunakan sebuah bus TLM dan RTL. b. Pengujian model TLM-RTL 3-tiers: melibatkan 1 buah master, 1 buah slave, dan 1 buah arbiter. Arbiter dianggap sebagai salah satu komponen yang berfungsi dalam mengendalikan bus TLM dan RTL. c. Pengujian model TLM-RTL 4-tiers: melibatkan 2 buah master, 1 buah slave dan 1 buah arbiter. d. Pengujian model TLM-RTL 5-tiers: melibatkan 2 buah master, 2 buah slave dan 1 buah arbiter.

4 Transformasi model TLM-RTL Proses transformasi model TLM ke RTL adalah proses sistematis dengan melakukan peruahan cara komunikasi komponen yaitu dengan meningkatkan kerincian komunikasi. Diperlukan sebuah standar bus untuk komunikasi antar komponan. Bus yang digunakan pada transformasi ini adalah sistem bus Avalon. Bus Avalon adalah jenis Bus SoC (System on Chip) yang dikembangkan oleh Altera ( Bus ini dirancang untuk aplikasi berbasis chip. SoC adalah sebuah sistem kompak yang di dalamnya terdapat tiga komponen utama yaitu komponen master yang aktif, komponen slave yang pasif dan sistem bus. Bus Avalon digunakan pada tahap implementasi pada level RTL. Pada Bus Avalon terdapat 5 buah komponen utama dengan masing-masing fungsi sebagai: master, slave, request logic, arbitrator logic, dan multiplexer. 4. Analisis Perbandingan Pemodelan TLM dan RTL Analisis dilakukan terhadap hasil pengukuran data yang dihasilkan dalam percobaan. Pengukuran dilakukan untuk menentukan peningkatan kinerja proses perancangan TLM dibandingkan dengan RTL. Peningkatan kinerja TLM dibandingkan RTL berdasarkan waktu proses perancangan kedua pemodelan tersebut. Semakin tinggi peningkatan kinerja TLM dibandingkan RTL artinya pemodelan dengan TLM semakin efesien dan memerlukan waktu yang lebih sedikit dibandingkan dengan RTL dengan hasil yang sama. 4.1 Pengukuran Berdasarkan Baris Kode Gambar 4 menunjukkan data hasil pengukuran terhadap model TLM dan RTL untuk skenario 1 4. Berdasarkan data tersebut terlihat bahwa lebih sedikit jumlah baris yang diperlukan untuk memodelkan sistem dengan menggunakan TLM dibandingkan dengan RTL. Kondisi ini dapat terjadi kerana pendefinisian master, slave, dan bus pada RTL lebih rinci dibandingkan dengan TLM. Tingkat kerincian pemodelan pada RTL mempengaruhi beberapa bagian program yaitu: a. Definisi port pada masing-masing komponen master dan slave. b. Definisi awal pada top level sistem yaitu menambahkan port, instantiasi, pemetaan port, dan destruksi. c. Definisi komponen baru yaitu multiplexer. Pada bus Avalon setiap penambahan slave maka harus menambahkan 6 buah multiplexer baru. Pada TLM proses definisi komponen dan pemetaan port lebih sederhana dibandingkan dengan RTL sehingga tidak memerlukan instruksi yang banyak seperti RTL. Pemetaan port baru pada saat terjadi penambahan master adalah pemetaan dengan bus dan clock. 4.2 Pengukuran Berdasar Waktu Perancangan Waktu perancangan adalah waktu yang diperlukan oleh pemrogram untuk pembuatan kode dan laporannya. Standar yang digunakan adalah 8 baris kode dan laporan per-man-day. Waktu perancangan dapat diturunkan langsung dari jumlah kode pemodelan untuk masing-masing pemodelan TLM dan RTL. Gambar 5 menunjukkan hasil perbandingan waktu yang diperlukan untuk merancang untuk keempat skenario pengujian. 4.3 Pengukuran kinerja proses perancangan Kinerja adalah salah satu parameter penting untuk mengukur keberhasilan sebuah metode baru. Pada disertasi ini kinerja proses perancangan diukur berdasarkan perbandingan antara waktu proses perancangan yang diperlukan dengan model RTL dibanding dengan model TLM. Gambar 4. Perbandingan Jumlah Baris Kode Gambar 5. Perbandingan Waktu Perancangan Jurnal Penelitian dan Pengembangan TELEKOMUNIKASI, Juni 2010, Vol. 15, No. 1

5 67 2. Kinerja proses perancangan TLM terhadap RTL meningkat sejalan dengan peningkatan kompleksitas sistem dan transaksi. Saran untuk penelitian selanjutnya antara lain: 1. Perlu diuji untuk beberapa jenis bus selain Avalon seperti Wishbone dan AMBA. Peningkatan kinerja TLM terhadap RTL dipengaruhi oleh jenis bus yang digunakan. 2. Perlu dilakukan uji coba untuk mengetahui batas maksimal jumlah komponen dalam sebuah embedded system. Gambar 6. Peningkatan Kinerja Proses Perancangan Pengukuran peningkatan kinerja proses perancangan dapat dilakukan dengan menggunakan persamaan 1. Te () T T rtl tlm (1) Berdasarkan hasil uji coba yang telah dilakukan yang tergambar pada Gambar 4 dan 5 diperoleh sebuah grafik peningkatan kinerja pada Gambar 6. Berdasarkan Gambar 6, dapat terlihat bahwa kinerja proses perancangan meningkat sejalan dengan peningkatan jumlah komponen pada embedded system tersebut kecuali pada studi kasus 2 tiers yang kinerjanya lebih tinggi dibandingkan dengan 3-tiers. Salah satu kelebihan pemodelan TLM terletak pada transaksi antar komponen. Semakin banyak komponen pada suatu sistem maka tingkat transaksi yang menggunakan Bus akan semakin meningkat. Peningkatan transaksi antar komponen sangat cocok dengan pemodelan TLM. Sebaliknya dalam pemodelan level RTL banyaknya jumlah komponen dan transaksi pada suatu sistem akan semakin meningkatkan kerumitan perancangan. Sehingga semakin banyak jumlah komponen dan transaksi di dalamnya maka proses perancangan semakin lambat. Dengan kondisi tersebut maka proses perancangan pada pemodelan TLM semakin lebih baik pada kondisi dengan banyak komponen yang berinteraksi. Itulah kelebihan pemodelan level TLM yang berada pada level transaksi. 5. Kesimpulan dan Saran Dari serangkaian pengujian, maka ditarik kesimpulan sebagai berikut: 1. Pemodelan level TLM lebih baik diterapkan pada sistem yang kompleks yaitu pada kondisi lebih dari dua komponen yang berinteraksi yang di dalamnya terdapat proses arbitrasi. Daftar Pustaka [1] Berger, Arnold S., Embedded System Design: An Introduction to Processes, Tools, and Techniques, CMP Books, [2] Black, David, Jack Donovan, SystemC: From the Ground Up, Kluwer Academic Publisher, USA, [3] Chatha, Karamvir Sigh, System-Level Cosynthesis of Transformative Application for Heterogeneous Hardware-Software Architecture, Dissertation at University of Cincinnati, [4] Cornet, Jerome, Separation of Functional and Non-Functional Aspects in Transactional Level Models of Systems-on-Chip, Dissertation at Institut Polytechnique De Grenoble, [5] Genovese, Matt, A Quick-Start Guide for Learning SystemC, The University of Texas, Austin, [6] Grotker, Thorsten, Stan Liao, System Design with SystemC, Kluwer, USA, [7] Gupta, Rajesh Kumar, Co-Synthesis of Hardware and Software for Digital Emmbedded Systems, Dissertation at Stanford University, [8] Mathaikutty, Deepak A., Metamodeling Driven IP Reuse for System-on-chip Integration and Microprocessor Design, Dissertation at Virginia Polytechnic Institute and State University, [9] Mooney III, Vincent John, Hardware/ Software Co-design of Run-time Systems, Dissertation at Stanford University, [10] Moore, Gordon E., Cramming More Components onto Integrated Circuits, Electronics, 38(8): , 19 April [11] Patel, H., D. (2007) : Ingredients for Successful System Level Automation & Design Methodology, Dissertation at Virginia Polytechnic Institute and State University. [12] Vahid, Frank, Tony Givargis. Embedded System A Unified Hardware/Software Introduction, JohnWiley & Sons Inc., New York, 2002.

PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM. Abstrak

PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM. Abstrak PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 3 dan Arif Sasongko 4 1 Fak. Informatika - IT Telkom, 234

Lebih terperinci

PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM.

PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM. PERANCANGAN KANAL KOMUNIKASI PADA TRANSACTION LEVEL MODELING DALAM PERANCANGAN EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 2, dan Arif Sasongko 2 1 Fakultas Informatika, IT Telkom,

Lebih terperinci

Analisis Perancangan Parameter dalam Pengukuran Kinerja Pada Alur Perancangan Embedded System

Analisis Perancangan Parameter dalam Pengukuran Kinerja Pada Alur Perancangan Embedded System Analisis Perancangan Parameter dalam Pengukuran Kinerja Pada Alur Perancangan Embedded System Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 3, Arif Sasongko 4 1234 STEI Institut Teknologi Bandung,

Lebih terperinci

PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM. Abstrak

PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM. Abstrak PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 3, dan Arif Sasongko 4 1 Fak. Informatika - IT Telkom, 234 STEI Institut

Lebih terperinci

PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM.

PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM. PERANCANGAN ATURAN TRANSFORMASI UML SYSTEMC DALAM PERANCANGAN EMBEDDED SYSTEM Maman Abdurohman 1, Kuspriyanto 2, Sarwono Sutikno 2, dan Arif Sasongko 2 1 Fak. Informatika, IT Telkom, Jl. Telekomunikasi

Lebih terperinci

SYSTEMC DAN UML : PERANCANGAN BERORIENTASI OBJEK STUDI KOMPARATIF

SYSTEMC DAN UML : PERANCANGAN BERORIENTASI OBJEK STUDI KOMPARATIF SYSTEMC DAN UML : PERANCANGAN BERORIENTASI OBJEK STUDI KOMPARATIF Maman Abdurohman 1), Kuspriyanto 2) Sarwono Sutikno 3) Arif Sasongko 4) 1 Teknik Informatika, IT Telkom, Jln. Telekomunikasi No.1 Bandung

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

o Petunjuk Tantangan dalam disain Mahasiswa mengetahui o Matrik Disain tantangan dalam disain

o Petunjuk Tantangan dalam disain Mahasiswa mengetahui o Matrik Disain tantangan dalam disain SATUAN ACARA PERKULIAHAN MATA KULIAH SISTEM TERTANAM TEKNIK KOMPUTER Minggu Pokok Bahasan dan TIU Sub Pokok Bahasan dan Sasaran Belajar Cara Media Tugas Ref ke Pengajaran 1 PENDAHULUAN SISTEM Tujuan memahami

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini

BAB I 1.PENDAHULUAN. kemampuan processing yang relatif lambat, tetapi kemampuan komputer ini BAB I 1.PENDAHULUAN 1.1 Latar Belakang Kemajuan di era informasi selalu diimbangi dengan perkembangan teknologi. Perkembangan teknologi yang paling mudah untuk diamati adalah perkembangan teknologi komputer.

Lebih terperinci

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan PENGENALAN SISTEM MIKROPROSESOR Outline : Mikroprosesor ROM RAM Piranti I/O Piranti Input Tidak "programable Piranti Output Tidak "programable Programable I/O Decoder sistem mikroprosesor berdasarkan piranti-piranti

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

QUARTUS DAN CARA PENGGUNAANNYA

QUARTUS DAN CARA PENGGUNAANNYA QUARTUS DAN CARA PENGGUNAANNYA A. Pengertian Software Quartus Quartus merupakan sebuah software yang digunakan untuk membuat simulasi rangkaian logika secara digital dengan memanfaatkan bahasa deskripsi

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout TUTORIAL Desain dan Simulasi Rangkaian Digital dengan OrCAD 9.1 Oleh : Agus Bejo Program Diploma Teknik Elektro Fakultas Teknik, Universitas Gadjah Mada Berikut ini adalah panduan untuk merancang sebuah

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

BAHASA PEMROGRAMAN VHDL

BAHASA PEMROGRAMAN VHDL BAHASA PEMROGRAMAN VHDL - Hardware Description Language (HDL) adalah bahasa yang dapat digunakan untuk mendeskripsikan sebuah sistim digital, misal, sebuah komputer atau komponen dari komputer - Ada 2

Lebih terperinci

Pengenalan Mikroprosessor

Pengenalan Mikroprosessor Pengenalan Mikroprosessor 1/total Outline Cental Processing Unit Bagian-bagian dari Mikroprosessor Kompleksitas Processor Perkembangan Microprocessor Computer Processing Speed Hukum Moore Trend Perkembangan

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

SISTEM MIKROPROSESOR RIZAL SURYANA JURUSAN TEKNIK ELEKTRO - UNJANI

SISTEM MIKROPROSESOR RIZAL SURYANA JURUSAN TEKNIK ELEKTRO - UNJANI SISTEM MIKROPROSESOR RIZAL SURYANA JURUSAN TEKNIK ELEKTRO - UNJANI Sistem Mikroprosesor? Sistem Gabungan dari beberapa elemen atau komponen yang membentuk suatu fungsi tertentu Mikroprosesor Sebuah chip

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN MENGGUNAKAN VHDL Fakultas Teknik Elektronika dan Komputer Universitas Kristen Satya Wacana Jalan Diponegoro

Lebih terperinci

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2)

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Pokok Bahasan Pendahuluan Arsitektur

Lebih terperinci

Sistem Mikroprosesor

Sistem Mikroprosesor Sistem Mikroprosesor Enrollment Key: Sistem Mikroprosesor Kelas B: SM492SKB Sistem Mikroprosesor Kelas C: SM570SKC 1/total MIKROKOMPUTER Perkembangan Mikrokomputer 2/total Outline Perkembangan Mikroprosesor

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM

BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM 29 BAB III ANALISIS MASALAH DAN RANCANGAN PROGRAM III.1. Analisis Penelitian bertujuan untuk merancang sebuah sistem yang dapat melakukan penyampaian sebuah pesan multi chatting kedalam media LAN. Ada

Lebih terperinci

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE Engelin SJ Pengaruh Sifat Inversi. PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE (CMOS) STATIK 4-BIT Engelin Shintadewi Julian 1) 1) Department of Electrical Engineering,

Lebih terperinci

Performance. Team Dosen Telkom University 2016

Performance. Team Dosen Telkom University 2016 Performance Team Dosen Telkom University 2016 Definisi Performa Pesawat Kapasitas (orang) Jarak Tempuh (mil) Kecepatan (mil/jam) Berat (kg) Boeing 777 375 4630 610 228.750 Boeing 747 470 4150 610 268.700

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

DASKOM & PEMROGRAMAN. Dani Usman

DASKOM & PEMROGRAMAN. Dani Usman DASKOM & PEMROGRAMAN Dani Usman Latar Belakang Memory merupakan tempat menampung data dan kode instruksi program Memori adalah pusat kegiatan pada sebuah komputer, karena setiap proses yang akan dijalankan,

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

BAB III METODOLOGI PENELITIAN

BAB III METODOLOGI PENELITIAN BAB III METODOLOGI PENELITIAN 3.1. Desain Penelitian Desain penelitian merupakan tahapan atau gambaran yang akan dilakukan dalam melakukan penelitian. Tahapan-tahapan yang dilakukan dalam penelitian ini

Lebih terperinci

IMPLEMENTASI FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS VHDL. Arnold Aribowo, ST.

IMPLEMENTASI FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS VHDL. Arnold Aribowo, ST. IMPLEMENTASI FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS VHDL Arnold Aribowo, ST., MT dan Thomas" Abstract The processor is a very important part of the computer

Lebih terperinci

Organisasi & Arsitektur. Komputer. Org & Ars komp Klasifikasi Ars Komp Repr Data

Organisasi & Arsitektur. Komputer. Org & Ars komp Klasifikasi Ars Komp Repr Data Organisasi & Arsitektur Komputer Org & Ars komp Klasifikasi Ars Komp Repr Data Organisasi berkaitan dengan fungsi dan desain bagianbagian sistem komputer digital yang menerima, menyimpan dan mengolah informasi.

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia

KOMPONEN INTERFACING. Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia KOMPONEN INTERFACING Yoyo somantri Dosen Jurusan Pendidikan Teknik Elektro FPTK Universitas Pendidikan Indonesia Pendahuluan Dalam bab ini akan dibahas tujuan perkuliahan, komponen komponen input/output

Lebih terperinci

III. METODE PENELITIAN

III. METODE PENELITIAN III. METODE PENELITIAN A. Waktu dan Tempat Penelitian Penelitian ini dilakukan di Laboratorium Teknik Kendali Jurusan Teknik Elektro Fakultas Teknik Universitas Lampung yang dilaksanakan mulai dari bulan

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) IK2134 ORGANISAI DAN ARSITEKTUR KOMPUTER Disusun oleh: PROGRAM STUDI ILMU KOMPUTASI FAKULTAS INFORMATIKA TELKOM UNIVERSITY LEMBAR PENGESAHAN Rencana Semester (RPS) ini

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Tujuan 04/07/ :01

Tujuan 04/07/ :01 Sistem Basis Data : Perancangan Perangkat Lunak Tujuan Mahasiswa mampu memahami analisis dan desain model database Mahasiswa paham dan mengerti konsep desain database Mahasiswa mengerti desain arsitektur

Lebih terperinci

PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1

PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1 PERANCANGAN DAN IMPLEMENTASI CHIP FAST MULTIPLIER TRACHTENBERG METODE DUA JARI DENGAN BAHASA PEMROGRAMAN PERANGKAT KERAS AHDL PADA EPF10K30ETC144-1 Thomas Dosen Jurusan Teknik Elektro-FTI, Universitas

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS Mochammad Fadhli Zakiy, Rizki Satya Utami Laboratorium Dasar Teknik Elektro Sekolah Teknik Elektro dan Informatika ITB Abstrak Praktikum kali

Lebih terperinci

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC

PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC PERBANDINGAN KINERJA ADDER DENGAN TOPOLOGI MCC, CLA, DAN RCA 16-BIT DENGAN TEKNOLOGI 180NM MENGGUNAKAN SOFTWARE ELECTRIC Okthavianus Bayu Pamungkas *), Munawar Agus Riyadi, and Maman Somantri Departemen

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN SISTEM. Analisis merupakan suatu tindakan untuk mengetahui lebih

BAB III ANALISIS DAN PERANCANGAN SISTEM. Analisis merupakan suatu tindakan untuk mengetahui lebih BAB III ANALISIS DAN PERANCANGAN SISTEM 3. 1 Analisis Sistem Analisis merupakan suatu tindakan untuk mengetahui lebih jauh tentang obyek yang akan diteliti. Sehingga akan diperoleh gambaran mengenai analisis

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN 21 BAB III METODE PENELITIAN 3.1 Desain Penelitian Pada bab ini akan dipaparkan skema umum penelitian yang dilakukan untuk mempermudah dalam melakukan penelitian. Dalam penelitian ini terdapat dua tahapan

Lebih terperinci

Sistem Mikroprosessor

Sistem Mikroprosessor Sistem Mikroprosessor Agung Prasetyo,ST. Jurusan Teknik Elektro Akademi Teknologi Warga Surakarta Sistem yang berbasis microprosessor: Juga biasa di sebut microcomputer adalah suatu rangkaian digital yang

Lebih terperinci

Sejarah mikroprosessor

Sejarah mikroprosessor Sejarah mikroprosessor adiatma adiatma@raharja.info Abstrak Mikroprosessor, dikenal juga dengan sebutan central processing unit (CPU) artinya unit pengolahan pusat. CPU adalah pusat dari proses perhitungan

Lebih terperinci

Perancangan Arsitektur Fast Multiplier Trachtenberg Metode Langsung

Perancangan Arsitektur Fast Multiplier Trachtenberg Metode Langsung Perancangan Arsitektur Fast Multiplier Trachtenberg Metode Langsung Arnold Aribowo"', Thomas "' Abstract The processor is a very important part of the computer hardware components, which affects the whole

Lebih terperinci

Arsitektur Komputer, Mikroprosesor dan Mikrokontroller. TTH2D3 Mikroprosesor

Arsitektur Komputer, Mikroprosesor dan Mikrokontroller. TTH2D3 Mikroprosesor Arsitektur Komputer, Mikroprosesor dan Mikrokontroller TTH2D3 Mikroprosesor Organisasi berkaitan dengan fungsi dan desain bagian-bagian sistem komputer digital yang menerima, menyimpan dan mengolah informasi.

Lebih terperinci

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3.

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. CPU Architecture OLEH : DANNY KURNIANTO,S.T.,M.Eng. SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

2009/2010 Course Plan. SK-208 Arsitektur Komputer Ir. Syahrul, MT.

2009/2010 Course Plan. SK-208 Arsitektur Komputer Ir. Syahrul, MT. 2009/2010 Course Plan SK-208 Arsitektur Komputer Ir. Syahrul, MT. DEPARTEMEN TEKNIK INFORMATIKA INSTITUT TEKNOLOGI HARAPAN BANGSA 2010 INSTITUT TEKNOLOGI HARAPAN BANGSA SEMESTER GENAP 2009/2010 (SK-208)

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang dan Permasalahan Tabel 1.1 Jumlah mahasiswa STMIK AMIKOM Purwokerto

BAB I PENDAHULUAN 1.1 Latar Belakang dan Permasalahan Tabel 1.1 Jumlah mahasiswa STMIK AMIKOM Purwokerto BAB I PENDAHULUAN 1.1 Latar Belakang dan Permasalahan STMIK AMIKOM Purwokerto merupakan perguruan tinggi komputer yang memiliki 2 program studi unggulan, yaitu program studi sistem informasi dan teknik

Lebih terperinci

Ukuran semakin kecil, fleksibilitas meningkat Daya listrik lebih hemat, panas menurun Sambungan sedikit berarti semakin handal / reliable

Ukuran semakin kecil, fleksibilitas meningkat Daya listrik lebih hemat, panas menurun Sambungan sedikit berarti semakin handal / reliable SRI SUPATMI,S.KOM Tahun 1960 an Hukum Moore dari Gordon Moore salah satu pendiri Intel : Meningkatnya kerapatan komponen dalam chip Jumlah transistor / chip meningkat 2 kali lipat tiap tahun, tapi tahun

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

1 BAB III METODE PENELITIAN

1 BAB III METODE PENELITIAN 1 BAB III METODE PENELITIAN 1.1 Desain Penelitian Desain penelitian merupakan tahapan atau gambaran yang akan dilakukan dalam melakukan penelitian. Tahapan-tahapan yang dilakukan dalam penelitian ini dapat

Lebih terperinci

APLIKASI SISTEM INFORMASI PENGOLAHAN DATA PADA DIREKTORAT RESERSE KRIMINAL KHUSUS POLDA SUMBAR

APLIKASI SISTEM INFORMASI PENGOLAHAN DATA PADA DIREKTORAT RESERSE KRIMINAL KHUSUS POLDA SUMBAR APLIKASI SISTEM INFORMASI PENGOLAHAN DATA PADA DIREKTORAT RESERSE KRIMINAL KHUSUS POLDA SUMBAR Janero Kennedy 1) 1) Magister Teknik Informatika, STMIK AMIKOM, Kota Yogyakarta. Jl Ring road Utara, Condongcatur,

Lebih terperinci

MODUL 2 KOMPONEN, LAYANAN SISTEM OPERASI M. R A J A B F A C H R I Z A L - S I S T E M O P E R A S I - C H A P T E R 2

MODUL 2 KOMPONEN, LAYANAN SISTEM OPERASI M. R A J A B F A C H R I Z A L - S I S T E M O P E R A S I - C H A P T E R 2 MODUL 2 KOMPONEN, LAYANAN SISTEM OPERASI M. R A J A B F A C H R I Z A L - S I S T E M O P E R A S I - C H A P T E R 2 1 KOMPONEN SO LAINNYA Jaringan (Sistem Terdistribusi) Sistem terdistribusi adalah sekumpulan

Lebih terperinci

Interfacing i8088 dengan Memori

Interfacing i8088 dengan Memori Interfacing i8088 dengan Memori Memori harus tersedia pada suatu sistem mikroprosesor, baik untuk menyimpan program maupun untuk menyimpan data. Tergantung dari kebutuhan, memori yg dapat digunakan oleh

Lebih terperinci

Mikroprosesor. Nuryono Satya Widodo, S.T.,M.Eng. Mikroprosesor 1

Mikroprosesor. Nuryono Satya Widodo, S.T.,M.Eng. Mikroprosesor 1 Mikroprosesor Nuryono Satya Widodo, S.T.,M.Eng. Mikroprosesor 1 Mikroprosesor Mikroprosesor(µP): suatu rangkaian digital yang terdiri atas 3 bagian utama, yaitu : ALU (Arithmetic and Logic Unit), Register

Lebih terperinci

BAB III METODOLOGI PENELITIAN. Metode pengumpulan data yang digunakan pada penelitian ini berupa studi

BAB III METODOLOGI PENELITIAN. Metode pengumpulan data yang digunakan pada penelitian ini berupa studi BAB III METODOLOGI PENELITIAN 1.1 METODE PENGUMPULAN DATA Metode pengumpulan data yang digunakan pada penelitian ini berupa studi literatur berupa mempelajari hal-hal yang berhubungan dengan pembahasan

Lebih terperinci

Gambar 3.1 Desain Penelitian

Gambar 3.1 Desain Penelitian 29 BAB III METODOLOGI PENELITIAN 3.1 Desain Penelitian Penentuan lokasi dan variable penelitian Menentukan kebutuhan data yang akan digunakan Membuat surat izin penelitian Pengumpulan data yang dibutuhkan

Lebih terperinci

BAB III METODE PENELITIAN. dilakukan untuk mempermudah dalam melakukan penelitian. Dalam

BAB III METODE PENELITIAN. dilakukan untuk mempermudah dalam melakukan penelitian. Dalam BAB III METODE PENELITIAN 3.1 Desain Penelitian Pada bab ini akan dipaparkan skema umum penelitian yang dilakukan untuk mempermudah dalam melakukan penelitian. Dalam penelitian ini terdapat dua tahapan

Lebih terperinci

BAB III METODE PENELITIAN. Tahapan penelitian yang akan dilakukan adalah sebagai berikut: keputusan tingkat kesehatan.

BAB III METODE PENELITIAN. Tahapan penelitian yang akan dilakukan adalah sebagai berikut: keputusan tingkat kesehatan. BAB III METODE PENELITIAN 3.1. Desain Penelitian Tahapan penelitian yang akan dilakukan adalah sebagai berikut: 1. Menentukan kebutuhan data yang akan digunakan, seperti tingkat kesehatan masyarakat baik

Lebih terperinci

BAB III ANALISIS DAN PERANCANGAN

BAB III ANALISIS DAN PERANCANGAN BAB III ANALISIS DAN PERANCANGAN III.1. Analisa Masalah Dalam Perancangan Robot Rubik s cube 3x3x3 Berbasis Mikrokontroler Menggunakan Metode Jessica Fridrich yang pembuatan nya terdapat beberapa masalah

Lebih terperinci

IMPLEMENTASI ALGORITMA MINIMAX PADA PERMAINAN TIC-TAC-TOE SKALA 9X9

IMPLEMENTASI ALGORITMA MINIMAX PADA PERMAINAN TIC-TAC-TOE SKALA 9X9 IMPLEMENTASI ALGORITMA MINIMAX PADA PERMAINAN TIC-TAC-TOE SKALA 9X9 Dicky Herman Firmansyah zudenks@yahoo.co.id Pembimbing I : Nana Juhana, S.T., M.T. Pembimbing II : Irfan Maliki, S.T. Fakultas Teknik

Lebih terperinci

BAB III METODOLOGI PENELITIAN. tools yang akan digunakan untuk merancang aplikasi generator denah

BAB III METODOLOGI PENELITIAN. tools yang akan digunakan untuk merancang aplikasi generator denah 24 BAB III METODOLOGI PENELITIAN 3.1 Alat dan Bahan Penelitian 1. Alat Penelitian Alat penelitian berupa perangkat keras dan perangkat lunak sebagai tools yang akan digunakan untuk merancang aplikasi generator

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

SISTEM BUS. Oleh : 1. Sena Bagus Riswaya / Miftah Rajunda / Mujianto / Ahmad Budi Santoso /

SISTEM BUS. Oleh : 1. Sena Bagus Riswaya / Miftah Rajunda / Mujianto / Ahmad Budi Santoso / SISTEM BUS Oleh : 1. Sena Bagus Riswaya / 10111019 2. Miftah Rajunda / 10112098 3. Mujianto / 10111039 4. Ahmad Budi Santoso / 10111064 VIDEO Introducing BUS Pengertian Sistem Bus Bus adalah Jalur komunikasi

Lebih terperinci

SEJARAH MIKROPROSESOR

SEJARAH MIKROPROSESOR SEJARAH MIKROPROSESOR PENDAHULUAN z Setiap komputer didalamnya pasti terdapat mikroprosesor. z Mikroprosesor, dikenal juga dengan sebutan Central Processing Unit (CPU) artinya unit pengolahan pusat. z

Lebih terperinci

BAB I PENDAHULUAN. Persediaan barang dagangan (merchandise inventory) merupakan barangbarang

BAB I PENDAHULUAN. Persediaan barang dagangan (merchandise inventory) merupakan barangbarang BAB I PENDAHULUAN I.1. Latar Belakang Persediaan barang dagangan (merchandise inventory) merupakan barangbarang yang dimiliki perusahaan untuk dijual kembali dalam kegiatan operasional normal perusahaan.

Lebih terperinci

BAB IV IMPLEMENTASI DAN PENGUJIAN

BAB IV IMPLEMENTASI DAN PENGUJIAN BAB IV IMPLEMENTASI DAN PENGUJIAN 4.1. Implementasi Aplikasi Pada tahap implementasi dan pengujian aplikasi akan dilakukan serangkaian analisis dan perancangan aplikasi selesai dilakukan. Pada sub bab

Lebih terperinci

Sistem Bus. Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 06 --

Sistem Bus. Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 06 -- Sistem Bus Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2013/2014 STMIK Dumai -- Materi 06 -- This presentation is revised by HA, STMIK, 2014 2 Acknowledgement Main Material: Stallings,

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

PERANCANGAN SISTEM INFORMASI PERPUSTAKAAN PADA SMP NEGERI 134 JAKARTA

PERANCANGAN SISTEM INFORMASI PERPUSTAKAAN PADA SMP NEGERI 134 JAKARTA PERANCANGAN SISTEM INFORMASI PERPUSTAKAAN PADA SMP NEGERI 134 JAKARTA Muhammad Ainur Rony Program Studi Teknik Informatika, Fakultas Teknologi Informasi, Universitas Budi Luhur Jl. Ciledug Raya Petukangan

Lebih terperinci

Bab I Pendahuluan 1 BAB I PENDAHULUAN

Bab I Pendahuluan 1 BAB I PENDAHULUAN Bab I Pendahuluan 1 BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Pada saat ini sistem yang dikontrol dengan microprocessor atau microcontroller cenderung menerapkan banyak processor atau controller dibandingkan

Lebih terperinci

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT 3. DSR DIGITL 3.1. Gerbang-gerbang sistem digital Gerbang-gerbang sistem dijital atau gerbang logika adalah piranti yang memiliki keadaan bertaraf logika. Gerbang logika dapat merepresentasikan keadaan

Lebih terperinci

IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC

IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC IMPLEMENTASI MODEL SISTEM ANTRIAN PELAYANAN BERBASIS PC Wahyu Sakti G. I * Siti Sendari * Abstrak: Berdasarkan hasil studi, tempat pelayanan umum yang dilayani dengan sistem loket, sering menghadapi masalah

Lebih terperinci

Pertemuan ke - 15 Sistem Bus Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST

Pertemuan ke - 15 Sistem Bus Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST Pertemuan ke - 15 Sistem Bus Riyanto Sigit, ST. Nur Rosyid, S.kom Setiawardhana, ST Hero Yudo M, ST Politeknik Elektronika Negeri Surabaya Tujuan Menjelaskan struktur antar hubungan Menjelaskan bus antar

Lebih terperinci

Percobaan IV PENGENALAN VHDL

Percobaan IV PENGENALAN VHDL IV- Percobaan IV PENGENALAN VHDL IV. Tujuan. Mempelajari elemen-elemen dasar VHDL yang diimplementasikan dalam Warp. 2. Membuat aplikasi sederhana menggunakan VHDL. 3. Mensimulasikan aplikasi tersebut.

Lebih terperinci

Sejarah Mikrokontroler

Sejarah Mikrokontroler Sejarah Mikrokontroler Dwisnanto Putro, S.T., M.Eng. Berawal dari Mikroprosesor Abad 21 abad mikroprosesor Semua peralatan rumah tangga menggunakan mikroprosesor: handphone, tv, kulkas, mesin cuci, setrika,

Lebih terperinci

Berikut langkah-langkah penelitian yang dilakukan: 1. Menentukan kebutuhan data yang akan digunakan.

Berikut langkah-langkah penelitian yang dilakukan: 1. Menentukan kebutuhan data yang akan digunakan. 20 BAB III METODOLOGI PENELITIAN 3.1 Desain Penelitian Pada bab ini akan dipaparkan skema umum penelitian yang dilakukan untuk mempermudah dalam melakukan penelitian. Dalam penelitian ini terdapat dua

Lebih terperinci

UKDW BAB 1 PENDAHULUAN Latar Belakang Masalah

UKDW BAB 1 PENDAHULUAN Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1. Latar Belakang Masalah Perkembangan teknologi dewasa ini sudah merambah ke berbagai aspek kehidupan. Kemudahan yang mampu diberikan untuk meringankan beban pekerjaan manusia membuat

Lebih terperinci

7.1 Pendahuluan. 7.2 Central Processing Unit (CPU)

7.1 Pendahuluan. 7.2 Central Processing Unit (CPU) Bab 7 Prosesor Dan Memori 7.1 Pendahuluan Alat pemroses adalah alat dimana instruksi-instruksi program diproses untuk mengolah data yang sudah dimasukkan melalui alat input dan hasilnya akan ditampilkan

Lebih terperinci

BAB IV IMPLEMENTASI DAN PENGUJIAN

BAB IV IMPLEMENTASI DAN PENGUJIAN BAB IV IMPLEMENTASI DAN PENGUJIAN Bab empat memberikan penjelasan menyeluruh terhadap implementasi perangkat lunak yang dikembangkan untuk tugas akhir ini dan pengujian yang dilakukan. 4.1 Implementasi

Lebih terperinci

Pengantar Teknologi Informasi A. Pertemuan 7. Prossesor & Memori

Pengantar Teknologi Informasi A. Pertemuan 7. Prossesor & Memori Pertemuan 7. Prossesor & Memori P7. Prosesor & Memori Missa Lamsani 1 Processor dan Memori Alat pemroses adalah alat dimana instruksiinstruksi program diproses untuk mengolah data yang sudah dimasukan

Lebih terperinci

BAB 2 LANDASAN TEORI. Teori-teori yang menjadi dasar penulisan adalah sebagai berikut :

BAB 2 LANDASAN TEORI. Teori-teori yang menjadi dasar penulisan adalah sebagai berikut : BAB 2 LANDASAN TEORI 2.1 Teori-teori Dasar/Umum Teori-teori yang menjadi dasar penulisan adalah sebagai berikut : 2.1.1 Sistem Pengertian sistem menurut Williams dan Sawyer (2005, p457) adalah sekumpulan

Lebih terperinci

SISTEM KOMPUTER PEMROSES (CPU) Empat komponen Sistem Komputer : Pemroses Memori Utama Perangkat Masukan dan Keluaran Interkoneksi Antar Komponen

SISTEM KOMPUTER PEMROSES (CPU) Empat komponen Sistem Komputer : Pemroses Memori Utama Perangkat Masukan dan Keluaran Interkoneksi Antar Komponen SISTEM KOMPUTER Empat komponen Sistem Komputer : Pemroses Memori Utama Perangkat Masukan dan Keluaran Interkoneksi Antar Komponen PEMROSES (CPU) CPU = Central Processing Unit Pemroses berfungsi mengendalian

Lebih terperinci

DAFTAR ISI... LEMBAR JUDUL LEMBAR PENGESAHAN... SURAT PERNYATAAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... DAFTAR TABEL... DAFTAR GAMBAR...

DAFTAR ISI... LEMBAR JUDUL LEMBAR PENGESAHAN... SURAT PERNYATAAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR ISI LEMBAR JUDUL LEMBAR PENGESAHAN... SURAT PERNYATAAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... i iii iv v vi viii xiii xv BAB I BAB II PENDAHULUAN

Lebih terperinci

PROSES. Sistem Terdistribusi

PROSES. Sistem Terdistribusi PROSES PERT 3. Sistem Terdistribusi Konsep Proses Proses : suatu program yang sedang dieksekusi. Eksekusi proses dilakukan secara berurutan Dalam proses terdapat Program counter : menunjukkan instruksi

Lebih terperinci

PERANCANGAN SISTEM INFORMASI MANAJEMEN PERPUSTAKAAN MENGGUNAKAN POLA MODEL-VIEW- CONTROLLER (MVC)

PERANCANGAN SISTEM INFORMASI MANAJEMEN PERPUSTAKAAN MENGGUNAKAN POLA MODEL-VIEW- CONTROLLER (MVC) PERANCANGAN SISTEM INFORMASI MANAJEMEN PERPUSTAKAAN MENGGUNAKAN POLA MODEL-VIEW- CONTROLLER (MVC) Rangga Sanjaya Fakultas Teknik, Universitas BSI Jalan Sekolah Internasional No. 1-6, Bandung 40282, Indonesia

Lebih terperinci