MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

Ukuran: px
Mulai penontonan dengan halaman:

Download "MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA"

Transkripsi

1 MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro Politeknik Negeri Pontianak Jl Jenderal Ahmad Yani, Pontianak emardianto74@gmailcom Abstrak Modul trainer digital banyak sekali dijumpai saat ini, namun trainer yang bisa mewakili semua komponen dasar yang berhubungan dengan teknik digital amatlah sulit diperoleh karena membutuhkan banyak komponen yang harus disediakan dalam satu modul Dalam penelitian ini, dibuat suatu modul trainer digital yang dapat mewakili semua komponen utama dalam teknik digital dasar yang dirancang dan direalisasikan menjadi sebuah modul berbasis FPGA Modul trainer ini menyediakan tiga buah rangkaian utama yaitu rangkaian 1, 2 dan 3 Rangkaian 1 berisi tiga terminal gerbang dasarrangkaian 2 dan 3 berisi masing-masing dua terminal yang didalamnya mengandung rangkaian gerbang dasar, kombinasional dan sekuensial Masing-masing terminal baik itu rangkaian 1, 2 ataupun 3 dapat dipilih secara fleksibel disetiap terminal sesuai dengan yang diinginkan Modul trainer ini dibangun menggunakan FPGA Spartan 6 dengan memanfaatkan bahasa VHDL Hasil uji tiap materi praktik dari modul trainer ini memperlihatkan keberhasilan yang baik sehingga layak dalam kegiatan praktikum digital Kata Kunci: FPGA, VHDL, trainer digital, modul trainer Abstract The digital trainer modules are numerously found at present days, however, the trainer that could represent all basic components of digital engineering is very hard to be obtained because it requires many components to be provided in one module In this research, a digital trainer module which represents all the main components in basic digital engineering will be designed and realized into an FPGA-based module This trainer module provides three main circuits, those are circuit 1, circuit 2 and circuit 3 The circuit 1 contains three basic gate terminals Each of circuit 2 and circuit 3 contains two terminals which consist of circuits of basic, combinational and sequential gates Each terminal in circuit 1, circuit 2 or circuit 3 can be flexibly selected as ones desired This trainer module is built by using FPGA Spartan 6 and utilizes the VHDL language The test results of each practical subject of this trainer show great success so it is worthy for digital practice activity Keyword: FPGA, VHDL, digital trainer, trainer module PENDAHULUAN Trainer digital banyak sekali dijumpai dipasaran dalam bentuk beberapa modul gerbang dasar, rangkaian kombinasional dan rangkaian sequensial menggunakan jenis IC TTL ataupun CMOS Namun modul trainer yang tersedia secara lengkap dalam satu modul menggunakan IC TTL tersebut sangat sulit ditemukan dikarenakan terlalu banyak komponen yang harus disediakan Bila siswa atau mahasiswa ingin mempraktekkan suatu rangkaian yang terdiri dari rangkaian Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 254

2 gerbang, kombinasional dan sekuensial maka dibutuhkan beberapa modul dan tentunya membutuhkan juga meja yang cukup lebar untuk meletakkan modul tersebut Dalam penelitian ini penulis merancang dan merealisasikan sebuah modul yang dapat mewakili semua komponen dalam teknik digital dasar ke sebuah modul trainer berbasis FPGA (Field Programmable Gate Array) Melalui modul ini nantinya akan dapat dipraktikkan materi-materi teknik digital berupa gerbang logika dasar, rangkaian kombinasional dan rangkaian sekuensial yang telah diperoleh dalam pelajaran teori di kelas Gerbang logika dasar merupakan basis pembentukan sistem digital Gerbang logika dasar ini meliputi gerbang And, Or, Nand, Nor, Ex-Or dan Ex-Nor Melalui gerbang ini, sinyal analog sebesar 0 volt dan 5 volt (khusus IC jenis TTL) secara berturut-turut dikondisikan menjadi sinyal digital biner 0 dan 1 Rangkaian kombinasional dapat dibuat dengan mengkonfigurasi beberapa gerbang logika dasar Beberapa rangkaian kombinasional diantaranya meliputi decoder, encoder, multiplexer, demultiplexer, adder, buffer, comparator Kondisi logika keluaran dari rangkaian kombinasional bergantung pada kombinasi kondisi logika masukannya Rangkaian sekuensial adalah rangkaian logika yang kondisi keluarannya dipengaruhi oleh masukan dan keadaan keluaran sebelumnya atau dapat dikatakan rangkaian yang bekerja berdasarkan urutan waktu Ciri rangkaian logika sekuensial yang utama adalah adanya jalur umpan balik (feedback) di dalam rangkaiannya Contoh dari Rangkaian sekuensial diantaranya adalah flip-flop, counter, dan register Field Programming Gate Array (FPGA) adalah sebuah piranti logika yang terdiri atas dimensi array CLB (Configurable Logic Block), interkoneksi pemograman dan blok I/O (Input/Output) Di dalam piranti ini terkandung gerbang logika biasa maupun fungsi matematis dan kombinasional yang lebih kompleks Sebagian FPGA juga memiliki elemen memori (register) mulai dari flip-flop sampai pada RAM (Random Acces Memory) Gambar 1 menunjukkan salah satu contoh piranti FPGA tipe Xilinx Spartan 6 Tipe FPGA tersebut dapat Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 255

3 dikelompokkan menjadi 13 subfamily Setiap subfamily Spartan 6 memiliki batasan kemampuan maksimum penggunaan logic cell, slices, penggunaan flip-flop dan kemampuan distribusi RAM-nya Gambar 1 Xilinx Spartan-6 XC6SLX-TQG144 METODE Penelitian yang berkenaan dengan pembuatan trainer pernah dilakukan oleh Muhammad Irmansyah tahun 2009 yang merancang dan membuat multiplekser 4 input 1 output dan 2 selektor berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10 PLD yang digunakan sebagai pengganti dari IC Tahun 2013 Muhammad Irmansyah juga telah berhasil mengaplikasikan teknologi Programmable Logic Device (PLD) menggunakan IC PAL 22V10 untuk merancang rangkaian encoder desimal ke biner sebagai pengganti IC IC nantinya digunakan sebagai scanning pada keypad Rizky DF dan Lusia Rahmawati telah berhasil membangun trainer digital yang berfungsi sebagai rangkaian register dan counter yang berdimensi 30 cm x 30 cm berbentuk kotak bewarna putih Trainer ini dibangun menggunakan mikrokontroller ATmega 16, dimana I/O nya terdiri atas 12 buah saklar toggle, 2 buah project board, 3 terminal untuk terminal seven segment, terminal led output dan terminal input mikrokontroller, 8 buah led output, 1 LCD karakter 2 x 16, 2 LED untuk indikator clock dan indikator power Berdasarkan hasil survei yang Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 256

4 telah mereka lakukan terhadap sejumlah mahasiswa yang telah menggunakan trainer ini, 80 % mahasiswa menyatakan sangat baik Eko Mardianto dan Sy Agus Salim di tahun 2015 melakukan penelitian dengan judul Implementasi Modul Trainer Digital Berbasis Field Programmable Gate Array yang dibiayai oleh DIPA Politeknik Negeri Pontianak dalam pelaksanaan Penelitian Terapan Penelitian ini menghasilkan modul trainer digital gerbang dasar (And, Or, Nand, Nor, Xor, Ex Nor dan Inverter) yang terdiri atas 6 terminal gerbang 2 input, 4 terminal gerbang 3 input dan 3 terminal gerbang 4 input serta rangkaian kombinasional dengan fungsi adder Masing-masing terminal gerbang dapat difungsikan sebagai gerbang And, Or, Nor, Nand, Xor, Ex Or ataupun Inverter secara fleksibel sesuai dengan keinginan user Pada Penelitian ini merupakan kelanjutan dari penelitian sebelumnya yaitu membuat sebuah modul trainer digital lengkap yang meliputi rangkaian gerbang dasar, rangkaian kombinasional dan rangkaian sekuensial dengan penambahan clock yang digunakan sebagai masukan khusus pada rangkaian sekuensial yaitu counter Trainer ini menyediakan input/output untuk gerbang dasar, rangkaian kombinasi dan rangkaian sekuensial Penelitian yang dilakukan meliputi beberapa tahapan penelitian, yaitu sebagai berikut: (1) Penelitian pendahuluan Penelitian diawali dengan penelitian pendahuluan, mencakup penelusuran literatur berkenaan dengan teori-teori dan cara melakukan pemogramman dengan FPGA, penelusuran produk sejenis di pasaran beserta karakteristiknya, serta penelusuran peralatan yang akan digunakan dalam penelitian; (2) Perancangan rangkaian logika Tahap berikutnya adalah melakukan perancangan rangkaian logika dari sistem yang direncanakan, yaitu merancang rangkaian I/O untuk Rangkaian 1, Rangkaian 2, Rangkaian 3 dan Pulsa Rangkaian 1 terdiri atas gerbang And, Or, Nand, Nor, Ex Or, dan Ex Nor Rangkaian 2 dan 3 terdiri atas rangkaian gerbang dasar, Inverter, Buffer, Decoder 2 to 4, Decoder 3 to 8, BCD 7 segment, Encoder 8 to 3, Adder, Multiplexer, RS_Flip-Flop, JK_Flip-Flop, Latch 4 bit, Counter 4 bit, Shift Register, Demultiplexer atau Comparator Rangkaian pulsa memiliki 3 keluaran yaitu 1 Hz, 100 Hz dan 1 KHz Rangkaian pulsa berguna sebagai masukan dari counter Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 257

5 Setelah itu merancang rangkaian pemilih untuk menentukan komponen yang akan difungsikan Dalam pelaksanaan perancangan akan dilakukan per blok; (3) Pemogramman VHDL dan simulasi Bila konsep rancangan rangkaian logika telah dilakukan selanjutnya desain diterjemahkan menggunakan bahasa VHDL Setiap blok perancangan diuji dengan simulasi, bila hasil simulasi belum sesuai dengan kriteria yang diinginkan, maka proses pemogramman dengan VHDL diulangi (diperbaiki) Jika hasilnya sudah sesuai, proses dapat dilanjutkan ke tahap berikutnya Simulasi program menggunakan software Modelsim 65 Bila program yang disimulasikan telah sukses dilakukan, selanjutnya program di download agar dapat dilihat unjuk kerjanya; (4) Pembuatan alat/realisasi trainer Setelah dilakukan simulasi dan diperoleh hasil yang diinginkan selanjutnya dilakukan realisasi trainer dengan menempatkan bagian-bagian tertentu dari system sesuai dengan lay out yang sudah dirancang; (5) Pengujian sistem Pengujian sistem dilakukan dengan cara melakukan pengetesan di masing-masing blok rangkaian Setiap blok rangkaian diuji unjuk kerjanya, dimulai dari blok rangkaian 1, rangkaian 2 dan rangkaian 3 Selanjutnya melihat fungsi dari rangkaian pulsa, apakah pulsa keluaran sudah sesuai dengan yang dirancang Pengujian berikutnya yaitu dengan memadukan keseluruhan rangkaian dengan membuat aplikasi percobaan yang tersedia dalam modul Bila semua langkah telah dilakukan dan hasilnya sesuai dengan logika standar, maka trainer sudah bisa dikatakan layak untuk digunakan; dan (6) Produk Produk akhir meghasilkan sebuah modul trainer digital yang digunakan sebagai pembelajaran dibidang teknik digital Penelitian Pendahuluan (studi literatur) Tahapan penelitian berupa diagram alir ditunjukkan gambar berikut Pemogramman dan Simulasi Pengujian Sistem Perancangan Rangkaian Logika Pembuatan Gambar 2 Fishbone Diagam Modul Trainer Digital Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 258

6 Gambar 3 menunjukkan blok diagram keseluruhan sistem yang terdiri atas tiga blok rangkaian besar yang dinamai dengan rangkaian 1, 2 dan 3 Blok switch, counter dan decoder merupakan rangkaian pemilih untuk menentukan komponen yang ingin difungsikan Isi masing-masing rangkaian ini ditunjukkan pada Gambar 4 Rangkaian 1 terdiri dari 3 terminal yang dapat digunakan Setiap terminal hanya bisa difungsikan 1 komponen saja dengan pilihan gerbang And, Or, Nand, Nor, Ex Or, dan Ex Nor Masing-masing terminal terdiri atas 4 input dan setiap gerbang hanya bisa diberi gerbang 2 input sampai gerbang 4 input saja En 1 sampai 3 berguna untuk memastikan pilihan komponen yang akan difungsikan di masing-masing terminalnya yaitu dengan menekan tombol clock terlebih dahulu Out 1 sampai 3 merupakan keluaran dari komponen yang telah dipilih 1 Hz PULSA 100 Hz 1 KHz Clock Reset Up/down SWITCH IN 1-12 En 1-3 BLOK RANGKAIAN 1 OUT 1-6 COUNTER IN 13(1) - 23(1) IN 13(2) - 23(2) BLOK RANGKAIAN 2 OUT 7(1) - 14(1) OUT 7(2) - 14(2) En 4-5 DECODER IN 13(3) - 23(3) IN 13(4) - 23(4) BLOK RANGKAIAN 3 OUT 7(3) - 14(3) OUT 7(4) - 14(4) En 6-7 Gambar 3 Blok Diagram Keseluruhan Sistem Rangkaian 2 dan 3 terdiri dari rangkaian kombinasional dan rangkaian sekuensial diantaranya adalah inverter, buffer, decoder to 7 segment, encoder 8 to 3, adder, multiplexer, demultiplexer, flip-flop, latch, Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 259

7 counter, register, comparator dan termasuk gerbang logika Untuk memilih komponen-komponen tersebut, terlebih dahulu menekan tombol clock Tombol clock berfungsi untuk mencari komponen yang diinginkansetiap penekanan tombol clock menyebabkan indikator led akan menyala Setelah led berhenti pada komponen yang akan digunakan selanjutnya menekan tombol enable yang terdapat pada setiap terminal IN 1 IN 2 1 AND 2 OR 3 NAND OUT 1 IN 5 IN 6 1 AND 2 OR 3 NAND OUT 3 IN 9 IN 10 1 AND 2 OR 3 NAND OUT 5 IN 3 4 NOR OUT 2 IN 7 4 NOR OUT 4 IN 11 4 NOR OUT 6 IN 4 5 EX OR 6 EX NOR IN 8 5 EX OR 6 EX NOR IN 12 5 EX OR 6 EX NOR En 1 En 2 En 3 IN 13(1) IN 14(1) IN 15(1) IN 23(1) 1 BUFFER 2 BCD 7 SEG(K) 3 BCD 7 SEG(A) 4 LATCH 4 BIT 5 COUNTER 6 SHIFT REG 7 DEC 3 TO 8 8 DEMUX 9 DEC 2 TO 4 34 DLL OUT 7(1) OUT 14(1) IN 13(2) IN 14(2) IN 15(2) IN 23(2) 1 BUFFER 2 BCD 7 SEG(K) 3 BCD 7 SEG(A) 4 LATCH 4 BIT 5 COUNTER 6 SHIFT REG 7 DEC 3 TO 8 8 DEMUX 9 DEC 2 TO 4 34 DLL OUT 7(2) OUT 14(2) En 4 En 5 IN 13(3) IN 14(3) IN 15(3) IN 23(3) 1 BUFFER 2 BCD 7 SEG(K) 3 BCD 7 SEG(A) 4 LATCH 4 BIT 5 COUNTER 6 SHIFT REG 7 DEC 3 TO 8 8 DEMUX 9 DEC 2 TO 4 34 DLL OUT 7(3) OUT 14(3) IN 13(4) IN 14(4) IN 15(4) IN 23(4) 1 BUFFER 2 BCD 7 SEG(K) 3 BCD 7 SEG(A) 4 LATCH 4 BIT 5 COUNTER 6 SHIFT REG 7 DEC 3 TO 8 8 DEMUX 9 DEC 2 TO 4 34 DLL OUT 7(4) OUT 14(4) En 6 En 7 Gambar 4 Blok Diagram Rangkaian 1 (atas), Rangkaian 2 (tengah) dan Rangkaian 3 (atas) Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 260

8 HASIL DAN PEMBAHASAN Rancangan Modul Gambar 5 Rancangan Modul Trainer Digital Gambar 5 menunjukkan hasil rancangan modul trainer digital yang dapat digunakan sebagai media pembelajaran bagi siswa ataupun mahasiswa Modul trainer digital ini memiliki supply tetap yaitu supply untuk kodisi logika 0 dengan tegangan 0 volt dan supply untuk kondisi logika 1 dengan tegangan sebesar 5 volt Supply ini digunakan sebagai masukan pada rangkaian, baik itu rangkaian 1, 2 maupun rangkaian 3 pada modul trainer Selain supply terdapat juga masukan berupa frekuensi yang digunakan untuk masukan pada rangkaian counter Frekuensi yang disediakan terdiri dari 1 Hz, 100 Hz dan 1 khz Disediakan pula 12 tombol on/off yang digunakan sebagai masukan pada rangkaian 1,2 dan 3 Tombol ini akan berlogika 1 apabila ditekan dan akan berlogika 0 bila ditekan kembali Gambar 6 menunjukkan rangkaian yang tersedia pada modul Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 261

9 Gambar 6 Rangkain Pada Modul Terdapat tiga buah rangkaian pada modul yaitu rangkaian 1, 2, dan 3 Rangkaian 1 terdiri atas gerbang-gerbang 2 input, 3 input dan 4 input Masingmasing gerbang terdiri dari gerbang and, or, nand, nor, xor dan xnor Untuk gerbang 2 input tersedia 2 buah gerbang, sedangkan untuk gerbang 3 input dan gerbang 4 input hanya tersedia 1 gerbang saja Rangkaian 2 terdiri atas rangkaian gerbang-gerbang dasar, inverter, buffer, decoder 2 to 4, decoder 3 to 8, bcd 7 segment, encoder 8 to 3, adder, multiplexer, RS_flip-flop, JK_flip-flop, latch 4 bit, counter 4 bit, shift register, demultiplexer atau comparator Isi modul yang terdapat pada rangkaian 3 ini sama seperti pada rangkaian 2 Pada rangkaian pulsa ini hanya menyediakan pulsa dengan frekuensi 1 Hz, 100 Hz dan 1 khz Rangkaian pulsa ini dapat digunakan pada rangkaian counter Gambar 7 Petunjuk Rangkaian l Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 262

10 Gambar 7 menunjukkan petunjuk dari rangkaian 1 Rangkaian 1 terdiri atas: (1) gerbang 2 input (and, or, nand, nor, xor, xnor) Gerbang 2 input yang dapat digunakan hanya dua buah gerbang dengan IN1 dan IN2 sebagai input gerbang pertama dan OUT1 sebagai outputnya IN3 dan IN4 berfungsi sebagai input Gerbang kedua dan OUT2 sebagai outputnya (2) gerbang 3 input (and, or, nand, nor, xor, xnor) Gerbang 3 input hanya tersedia satu gerbang disetiap terminal pada rangkaian 1, dengan input IN1, IN2 dan IN3 Output gerbang 3 input berada pada Out 1 (3) gerbang 4 input (and, or, nand, nor, xor, xnor) Sebagaimana di gerbang 3 input, gerbang 4 input juga hanya memiliki satu gerbang disetiap terminal pada rangkaian 1 ini Inputnya adalah IN1, IN2, IN3 dan IN4 sedangkan outputya adalah OUT1 Gambar 5 yang berada pada sisi kanan gambar merupakan indikator modul trainer yang akan dioperasikan oleh pengguna Bila pengguna mengaktifkan rangkaian decoder 2 to 4 maka led nomor 21 akan menyala sedangkan led lainnya tidak akan menyala Sementara Gambar 8 digunakan sebagai tombol operasi clock, reset dan saklar up/down Tombol clock berfungsi untuk melakukan pemilihan rangkaian yang akan difungsikan Reset digunakan untuk mengembalikan ke posisi pemiihan awal yaitu di nomor 1 yaitu gerbang AND 2 input Saklar Up digunakan untuk menghitung naik bila tombol clock ditekan dan Down digunakan untuk hitung mundur bila tombol clock ditekan Gambar 9 Gambar 8 Tombol Operasi Untuk melihat bentuk fisik dari trainer yang telah dibuat ditunjukkan pada Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 263

11 Gambar 9 Bentuk fisik Modul Trainer Digital Hasil Simulasi Gambar 10 merupakan gambar contoh program gerbang dasar memanfaatkan software Xilinx ise 71 Gambar 10 Contoh Program Gerbang Dasar Dari listing program tersebut diperoleh hasil simulasi yang ditunjukkan gambar 11 Pada gambar 11 menunjukkan pemilihan rangkaian yang ingin difungsikan Indikator menunjukkan angka Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 264

12 001 mengandung arti bahwa rangkain gerbang and 2 input yang siap untuk difungsikan Gambar 11 Simulasi Pemilihan Rangkaian yang diinginkan Berikutnya Gambar 12 indikator menunjukkan angka mengandung arti bahwa rangkaian gerbang or 2 input yang akan difungsikan Gambar 12 Simulasi Pemilih Rangkaian yang diinginkan 2 Sementara Gambar 13 akan memfungsikan gerbang nand 2 input Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 265

13 Gambar 13 Simulasi Pemilihan rangkaian yang diinginkan 3 Gambar 14 merupakan gambar yang menunjukkan simulasi pemilihan rangkaian gerbang 2 input Gambar 14 Simulasi Pemilihan Rangkaian Gerbang 2 Input Dat mengandung arti bahwa gerbang and 2 input yang akan difungsikan Pada gerbang and tersebut, input yang diberikan adalah aya1 = 1 dan baya 1 = 0 akan menghasilkan output y1 = 0 Gerbang berikutnya aya2 = 1 dan baya2 = 1 akan menghasilkan output y2 = 1 Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 266

14 Gambar 15 Simulasi Pemilihan Rangkaian Gerbang 2 Input (2) Untuk gambar 15 adalah gambar yang memfungsikan gerbang or 2 input, yang ditandai dengan keterangan dat Keterangan : dat artinya memfungsikan gerbang and dat artinya memfungsikan gerbang or dat artinya memfungsikan gerbang nand dat artinya memfungsikan gerbang nor dat artinya memfungsikan gerbang xor dat artinya memfungsikan gerbang exor SIMPULAN Dari hasil eksperimen yang telah dilakukan menunjukkan bahwa modul trainer ini dapat digunakan sebagai modul pembelajaran Bentuk fisik atau hardware dari modul trainer layak dan mudah digunakan sebagai media pembelajaran dan memiliki kecenderungan lebih lengkap dibanding modul digital yang ada UCAPAN TERIMA KASIH Ucapan terima kasih diucapkan kepada Direktorat Riset dan Pengabdian Masyarakat, Kementerian Riset, Teknologi, dan Pendidikan Tinggi RI yang telah mendanai penelitian ini Terima kasih juga disampaikan kepada Unit Penelitian Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 267

15 dan Pengabdian Masyarakat Politeknik Negeri Pontianak, Jurusan Teknik Elektro, serta semua pihak yang tidak dapat disebutkan satu persatu sehingga penelitian ini dapat diselesaikan DAFTAR PUSTAKA Floyd 2006 Digital Fundamentals New Jersey: Prentice Hall, Ninth Edition Irmansyah, M 2009 Multiplekser Berbasis Programmable Logic Device (PLD) Jurnal Elektron, 1(2): 13 Irmansyah, M 2013 Pengimplementasian Teknologi Programmable Logic Device (PLD) Sebagai Biner Code Decimal (BCD) Untuk Scanning Keypad Jurnal Elektron, 5(1): 9 Mardianto, E & Salim, A 2015 Implementasi Modul Trainer Digital Berbasis Field Programmable Gate Array Makalah dalam Seminar Nasional Forum Pendidikan Tinggi Teknik Elektro Indonesia di Pontianak 6 Oktober 2015 Tema: Peran Pendidikan Tinggi Teknik Elektro Dalam Mewujudkan Ketahanan dan Keberlajutan Energi Nasional Rizky DW & Lusia, R 2014 Trainer Digital Register dan Counter Sebagai Media Pembelajaran Untuk Mahasiswa Elektronika Komunikasi di Jurusan Teknik Elektro Universitas Negeri Surabaya, Jurnal Unesa halaman 553 Xilinx 2008 Spartan 3 FPGA Starter Kit Board USA: User Guide Xilinx 2010 FPGA Spartan 6 tipe XC6SLX9 Fakultas Pendidikan MIPA dan Teknologi IKIP PGRI Pontianak 268

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. Sabran 1*, Muliadi 2 1,2 Dosen PTA FT Universitas Negeri Makassar * sabran_fh66@yahoo.com ABSTRAK Penelitian

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

TSK205 Sistem Digital. Eko Didik Widianto

TSK205 Sistem Digital. Eko Didik Widianto TSK205 Sistem Digital Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Di kuliah sebelumnya dibahas tentang representasi bilangan, operasi aritmatika (penjumlahan dan pengurangan),

Lebih terperinci

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) ISSN:2085-6989 Oleh: Muhammad Irmansyah Jurusan Teknik Elektro Politeknik Negeri Padang Kampus Unand Limau Manis Padang ABSTRACT In middle

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL PERTEMUAN 9 RANGKAIAN KOMBINASIONAL Sasaran Pertemuan 9 Mahasiswa diharapkan mengerti tentang Rangkaian Kombinasional yang terdiri dari : - Multiplexer - Demultiplexer - Decoder - Encoder - Seven Segment

Lebih terperinci

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO

SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO SISTEM KEAMANAN DENGAN MENGGUNAKAN CHIP EPROM TUGAS AKHIR OLEH: DIMAS ANGGIT ARDIYANTO 01.50.0101 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS KATOLIK SOEGIJAPRANATA SEMARANG 2007

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Modul 7 : Rangkaian Sekuensial 3

Modul 7 : Rangkaian Sekuensial 3 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 7 : Rangkaian Sekuensial 3 7.1 Tujuan Mahasiswa mampu mengetahui cara kerja Counter. 7.2 Alat & Bahan 1. IC 7473, IC 7448, IC 74190, IC7400 2. Data Sheet

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan Pengertian IC TTL Dan CMOS 9 IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan dari ratusan atau ribuan komponen-komponen lain. Bentuk IC berupa kepingan silikon

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014

LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 2013 / 2014 LAPORAN RESMI PRAKTIKUM SISTEM DIGITAL 23 / 24 MODUL 4 REGISTER, COUNTER DAN MEMORI OLEH KELOMPOK B ADE ILHAM FAJRI 5358 FRANKY SETIAWAN DALDIRI 5383 KELAS : B ASISTEN PEMBIMBING RISYANGGI AZMI FAIZIN

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421)

INSTRUMENTASI INDUSTRI (NEKA421) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 13 (ADC 2 Bit) I. TUJUAN 1. Mahasiswa dapat mengetahui prinsip kerja dan karakteristik rangkaian ADC 2 Bit. 2. Mahasiswa dapat merancang rangkaian ADC 2 Bit dengan

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November

Laboratorium Sistem Komputer dan Otomasi Departemen Teknik Elektro Otomasi Fakultas Vokasi Institut Teknologi Sepuluh November PRAKTIKUM 1 COUNTER (ASINKRON) A. OBJEKTIF 1. Dapat merangkai rangkaian pencacah n bit dengan JK Flip-Flop 2. Dapat mendemonstrasikan operasi pencacah 3. Dapat mendemonstrasikan bagaimana modulus dapat

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06 LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EKA/0 ARIF NUR MAJID EKA/0 AULIADI SIGIT H EKA/0 POLITEKNIK NEGERI SEMARANG 009 PERCOBAAN JUDUL : MONOSTABLE MULTIVIBRATOR(ONE SHOT) TUJUAN :. Mahasiswa

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 3 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian SYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian SYNCHRONOUS COUNTER

Lebih terperinci

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER

LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER LAB #5 REGISTER, SYNCHRONOUS COUNTER AND ASYNCHRONOUS COUNTER TUJUAN 1. Untuk mempelajari dan mendesain berbagai counter menggunakan gerbang dan Flip-Flop. 2. Untuk menyimulasikan berbagai counter dan

Lebih terperinci

BAB VII DASAR FLIP-FLOP

BAB VII DASAR FLIP-FLOP 89 BAB VII ASAR FLIP-FLOP 1. Pendahuluan Pada bagian sebelumnya telah dibahas tentang rangkaian kombinasional, yang merupakan rangkaian dengan keluaran yang dikendalikan oleh kondisi masukan yang ada.

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer MULTIPLEXER Pokok Bahasan :. Pendahuluan 2. Dasar-dasar rangkaian Multipleer. 3. Mendesain rangkaian Multipleer Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami rangkaian Multipleer.

Lebih terperinci

Kuliah#13 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#13 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#13 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ @2017,Eko Didik 1 Pengantar

Lebih terperinci

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian

adalah frekuensi detak masukan mula-mula, sehingga membentuk rangkaian Pertemuan ke 2 1 BAB I Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang aplikasi elemen flip-flop pada counter dan register serta clock mode, pulse mode, dan level mode. Manfaat Memberikan

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini menjelaskan tentang perancangan sistem alarm kebakaran menggunakan Arduino Uno dengan mikrokontroller ATmega 328. yang meliputi perancangan perangkat keras (hardware)

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

PENCACAH. Gambar 7.1. Pencacah 4 bit

PENCACAH. Gambar 7.1. Pencacah 4 bit DIG 7 PENCACAH 7.. TUJUAN. Mengenal, mengerti dan memahami operasi dasar pencacah maju maupun pencacah mundur menggunakan rangkaian gerbang logika dan FF. 2. Mengenal beberapa jenis IC pencacah. 7.2. TEORI

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

INTERFACE LCD DENGAN MENGGUNAKAN FPGA

INTERFACE LCD DENGAN MENGGUNAKAN FPGA INTERFACE LCD DENGAN MENGGUNAKAN FPGA Lukas Tanutama 1 ; Steven 2 ; Dhanny 3 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara Jalan K.H. Syahdan No 9, Palmerah, Jakarta Barat

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

X = A Persamaan Fungsi Gambar 1. Operasi NOT

X = A Persamaan Fungsi Gambar 1. Operasi NOT No. LST/EKO/DEL 214/01 Revisi : 01 Tgl : 1 Februari 2010 Hal 1 dari 8 1. Kompetensi Memahami cara kerja gerbang logika dasar dan gerbang perluasan logika dasar 2. Sub Kompetensi - Membuat rangkaian dengan

Lebih terperinci

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL

R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL R ANGKAIAN LOGIKA KOMBINASIONAL DAN SEQUENSIAL Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu Rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Dielektrika, ISSN 2086-9487 151 Vol. 2, No. 2 : 151-163, Agustus 2015 PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Multazamar Jan1 1,

Lebih terperinci

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU Adhe Ninu Indriawan, Hendi Handian Rachmat Subjurusan

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT MODUL PRAKTIKUM SISTEM DIGITAL Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT PROGRAM STUDI S TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS TRUNOJOYO MADURA 23-24 KATA PENGANTAR Puji syukur kami panjatkan

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

MODUL I GERBANG LOGIKA DASAR

MODUL I GERBANG LOGIKA DASAR MODUL I GERBANG LOGIKA DASAR I. PENDAHULUAN Gerbang logika adalah rangkaian dengan satu atau lebih masukan tetapi hanya menghasilkan satu keluaran berupa tegangan tinggi ( 1 ) dan tegangan rendah ( 0 ).

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci

SHEET PRAKTIK TEKNIK DIGITAL

SHEET PRAKTIK TEKNIK DIGITAL LAB SHEET PRAKTIK TEKNIK DIGITAL Pengenalan Komponen Elektronika Digital No. LST/PTE/EKA62/ Revisi: Tgl: 8 September 25 Page of 8. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

GERBANG LOGIKA & SISTEM BILANGAN

GERBANG LOGIKA & SISTEM BILANGAN GERBANG LOGIKA & SISTEM BILANGAN I. GERBANG LOGIKA Gerbang-gerbang dasar logika merupakan elemen rangkaian digital dan rangkaian digital merupakan kesatuan dari gerbang-gerbang logika dasar yang membentuk

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA

TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA TRAINER VOLTMETER DIGITAL SEBAGAI MEDIA PEMBELAJARAN TEKNIK DIGITAL SEKUENSIAL PADA KOMPETENSI KEAHLIAN TEKNIK AUDIO VIDEO DI SMK N 2 YOGYAKARTA DIGITAL VOLTMETER TRAINER AS A LEARNING MEDIA OF DIGITAL

Lebih terperinci

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB Oleh : Muhamad Ali, M.T Disampaikan pada Pelatihan dan Pendampingan Simulasi Rangkaian Elektronika Digital Berbasis Komputer JURUSAN PENDIDIKAN

Lebih terperinci

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR

MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR MAKALAH TEKNIK DIGITAL RANGKAIAN FLIP-FLOP DASAR DISUSUN OLEH : Rendy Andriyanto (14102035) Sania Ulfa Nurfalah (14102039) LABORATORIUM TEKNIK ELEKTRONIKA DAN TEKNIK DIGITAL SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP J-K

Jobsheet Praktikum FLIP-FLOP J-K 1 FLIP-FLOP J-K A. Tujuan Kegiatan Praktikum 10 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP J-K 2) Merangkai rangkaian FLIP FLOP J-K B. Dasar

Lebih terperinci

Gambar 1.1 Logic diagram dan logic simbol IC 7476

Gambar 1.1 Logic diagram dan logic simbol IC 7476 A. Judul : FLIP-FLOP JK B. Tujuan Kegiatan Belajar 15 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui cara kerja rangkaian Flip-Flop J-K. 2) Merangkai rangkaian Flip-Flop J-K.

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER

WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER WORKSHOP INSTRUMENTASI MODUL PRAKTIKUM PROGRAMMABLE LOGIC CONTROLLER PRODI D3 METROLOGI DAN INSTRUMENTASI JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER SURABAYA

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

RANGKAIAN MULTIPLEXER

RANGKAIAN MULTIPLEXER RANGKAIAN MULTIPLEXER RANGKAIAN DEMULTIPLEXER HALAMAN SAMPUL Penulis : - Editor materi : Ulfathul Muslimah Editor Bahasa : - Ilustrasi sampul : - Desain dan ilustrasi buku : - Hak cipta 2016, oleh Ulfathul

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

Jobsheet Praktikum FLIP-FLOP S-R

Jobsheet Praktikum FLIP-FLOP S-R 1 FLIP-FLOP S-R A. Tujuan Kegiatan Praktikum 9 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Menjelaskan cara kerja rangkaian FLIP FLOP S-R. 2) Merangkai rangkaian FLIP FLOP S-R. B. Dasar

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

PENGEMBANGAN HARDWARE UNTUK PRAKTIKUM DIGITAL-2 DALAM REMOTE LABORATORY

PENGEMBANGAN HARDWARE UNTUK PRAKTIKUM DIGITAL-2 DALAM REMOTE LABORATORY PENGEMBANGAN HARDWARE UNTUK PRAKTIKUM DIGITAL-2 DALAM REMOTE LABORATORY Henri Haryadi 1, Edi Satriyanto, S.Si, M.Si 1 Mahasiswa Jurusan Elektronika 1, Dosen Pembimbing 1 Institut Teknologi Sepuluh Nopember

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci