Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Ukuran: px
Mulai penontonan dengan halaman:

Download "Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom"

Transkripsi

1 Teknik Digital Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015

2 Pendahuluan Perancangan H/W (1) Mengapa perancangan H/W perlu diperhatikan? Kompleksitas rancangan meningkat pesat Pentingnya produk memasuki pasar sedini mungkin (Time-to-market) Life-time produk makin singkat Portabilitas: Battery operated Small-sized Keandalan tinggi Biaya desain makin mahal Sistem dan Logika Digital/2015 #1

3 Pendahuluan Perancangan H/W (2) Computer-Aided Design Tools Desain manual ditinggalkan Otomatisasi desain (synthesis tools) Penekanan pada representasi desain abstrak Desain hardware menjadi seperti desain software High-density & High-speed Programmable Logic vs Rangkaian diskrit Memungkinkan rapid prototyping Unjuk kerja tinggi Kehandalan tinggi Sistem dan Logika Digital/2015 #2

4 Pendahuluan Perancangan H/W (3) Pentingnya Metodologi Desain Top-Down approach Memudahkan desain rangkaian Memudahkan lokalisasi error Representasi Rancangan Behaviour Structural Abstraction Sistem dan Logika Digital/2015 #3

5 Pendahuluan Perancangan H/W (4) Sistem dan Logika Digital/2015 #4

6 Pendahuluan Perancangan H/W (5) Sistem dan Logika Digital/2015 #5

7 Pendahuluan Perancangan H/W (6) Sistem dan Logika Digital/2015 #6

8 Tahapan Realisasi VLSI Customer s need VLSI = Very Large Scale Integration Determine requirements Write specifications Design synthesis and Verification Test development Fabrication Manufacturing test Chips to customer Sistem dan Logika Digital/2015 #7

9 Present and Future* Feature size (micron) Transistors/sq. cm 4-10M 18-39M Pin count Clock rate (MHz) Power (Watts) * SIA Roadmap, IEEE Spectrum, July 1999 Sistem dan Logika Digital/2015 #8

10 Karakteristik Gerbang Logika Logika Positif dan Logika Negatif Kecepatan Switching Disipasi Daya Statik Dinamik Power-Delay Product Batas Derau Fan-in dan Fan-out Sistem dan Logika Digital/2015 #9

11 Logika Positif & Logika Negatif HV = High Voltage LV = Low Voltage NMH = Normal Margin High NML = Normal Margin Low Sistem dan Logika Digital/2015 #10

12 Input Aktif Low & Aktif High Skema D1-R1 = Aktif Low - LED D1 nyala ketika input = Low atau 0 volt Skema D2-R2 = Aktif High - LED D1 nyala ketika input = High atau 5 volt Sistem dan Logika Digital/2015 #11

13 Output Aktif Low & Aktif High Skema kiri = Aktif High - Output = High atau 5 volt ketika saklar SW1 ditekan Skema kanan = Aktif Low - Output = Low atau 0 volt ketika saklar SW2 ditekan Sistem dan Logika Digital/2015 #12

14 Kecepatan Switching Sinyal Input Sinyal Output Sistem dan Logika Digital/2015 #13

15 Batas Derau (Noise) Noise tidak melebihi margin Noise melebihi margin Sistem dan Logika Digital/2015 #14

16 Berbagai Macam Bentuk Transistor Sistem dan Logika Digital/2015 #15

17 Perbandingan Karakteristik Transistor Logika TTL = Transistor-Transistor Logic ECL = Emitter Coupled Logic NMOS = Negatif MOS CMOS = Complementary MOS FET = Field Effect Transistor MOS = Metal Oxide Semiconductor PMOS = Positif MOS Sistem dan Logika Digital/2015 #16

18 Layout Transistor NMOS 3 kondisi NMOS: - Non conducting - Conducting - Depletion Sistem dan Logika Digital/2015 #17

19 Simbol Transistor NMOS dan PMOS Gerbang NMOS Gerbang PMOS Sistem dan Logika Digital/2015 #18

20 Layout Transistor CMOS 2 transistor dalam satu paket: Diagram rangkaian Input High Output Low Input Low Output High Sistem dan Logika Digital/2015 #19

21 Gerbang Inverter (Not) (1) Inverter tersusun dari CMOS Sistem dan Logika Digital/2015 #20

22 Gerbang Inverter (Not) (2) Q2 (p-channel) biasanya ditulis dengan simbol lain (ada bulatan pada gate) Output High: bulatan Sistem dan Logika Digital/2015 #21

23 Gerbang Inverter (Not) (3) Output Low: bulatan Sistem dan Logika Digital/2015 #22

24 Gerbang Inverter (Not) (4) Inverter dengan TTL Aktif Low: HV LV Sistem dan Logika Digital/2015 #23

25 Gerbang Inverter (Not) (5) Simbol gerbang Inverter: Input Aktif High: Tanda bulatan Input Aktif Low: Tanda bulatan Tanda bulatan sebagai indikator Active Low Sistem dan Logika Digital/2015 #24

26 Gerbang Inverter (Not) (6) Contoh packaging gerbang NOT: TTL: 7404 CMOS: 4069 Sistem dan Logika Digital/2015 #25

27 Gerbang AND (1) Gerbang AND dengan CMOS: LV HV HV LV LV LV Sistem dan Logika Digital/2015 #26

28 Gerbang AND (2) Simbol Gerbang AND: Sistem dan Logika Digital/2015 #27

29 Gerbang AND (3) Contoh packaging gerbang AND dengan TTL: TTL: 7408 TTL: 7411 Sistem dan Logika Digital/2015 #28

30 Gerbang OR (1) Gerbang OR dengan CMOS: LV HV HV HV HV HV Sistem dan Logika Digital/2015 #29

31 Gerbang OR (2) Simbol Gerbang OR: Sistem dan Logika Digital/2015 #30

32 Gerbang OR (3) Contoh packaging gerbang OR dengan TTL: TTL: 7432 Sistem dan Logika Digital/2015 #31

33 Gerbang NAND (Not AND) (1) Gerbang NAND dengan NMOS Gerbang NAND dengan TTL Gerbang NAND dengan DTL DTL = Dioda Transistor Logic; TTL =... Sistem dan Logika Digital/2015 #32

34 Gerbang NAND (Not AND) (2) Gerbang NAND dengan CMOS: Sistem dan Logika Digital/2015 #33

35 Gerbang NAND (Not AND) (3) Sistem dan Logika Digital/2015 #34

36 Gerbang NAND (Not AND) (4) Simbol Gerbang NAND: Tanda bulatan sebagai indikator ACTIVE LOW seperti operasi AND biasa Catatan: Z = (X.Y) (H) = (X.Y)(L) Sistem dan Logika Digital/2015 #35

37 Gerbang NAND (Not AND) (5) Gerbang NAND dengan 3 input Sistem dan Logika Digital/2015 #36

38 Gerbang NAND (Not AND) (6) Gerbang NAND banyak input: (untuk n input diperlukan 2n transistor) Sistem dan Logika Digital/2015 #37

39 Gerbang NAND (Not AND) (7) Contoh packaging gerbang NAND dengan CMOS: CMOS: 4011 CMOS: 4023 Sistem dan Logika Digital/2015 #38

40 Gerbang NAND (Not AND) (8) Contoh packaging gerbang NAND dengan TTL: TTL: 7400 TTL: input Sistem dan Logika Digital/2015 #39

41 Gerbang NOR (Not OR) (1) NOR dengan NMOS: NOR dengan TTL: NOR dengan DTL: Sistem dan Logika Digital/2015 #40

42 Gerbang NOR (Not OR) (2) Gerbang NOR dengan CMOS: LV LV HV Sistem dan Logika Digital/2015 #41

43 Gerbang NOR (Not OR) (3) Simbol Gerbang NOR: Tanda bulatan sebagai indikator ACTIVE LOW seperti operasi OR biasa Catatan: Z = (X+Y) (H) = (X+Y)(L) Sistem dan Logika Digital/2015 #42

44 Gerbang NOR (Not OR) (4) Gerbang NOR banyak input: (untuk n input diperlukan 2n transistor) Sistem dan Logika Digital/2015 #43

45 Gerbang NOR (Not OR) (5) Contoh packaging gerbang NOR dengan CMOS: CMOS: 4000 CMOS: 4002 CMOS: 4025 Sistem dan Logika Digital/2015 #44

46 Gerbang NOR (Not OR) (6) Contoh packaging gerbang NOR dengan TTL: TTL: 7402 TTL: 7427 Sistem dan Logika Digital/2015 #45

47 Gerbang XOR (1) XOR dengan MOS: XOR dengan CMOS: Sistem dan Logika Digital/2015 #46

48 Gerbang XOR (2) Simbol gerbang XOR: Sistem dan Logika Digital/2015 #47

49 Gerbang XOR (3) Contoh packaging gerbang XOR dengan TTL: TTL: 7486 Sistem dan Logika Digital/2015 #48

50 Gerbang EQV EQV dengan MOS: Simbol gerbang EQV: Sistem dan Logika Digital/2015 #49

51 Gerbang AND Alternatif (1) Gerbang AND dapat tersusun dari gabungan dari gerbang NAND dan NOT Sistem dan Logika Digital/2015 #50

52 Gerbang AND Alternatif (2) Gerbang AND dapat tersusun dari gerbang OR yang semua input dan outputnya aktif low seperti operasi OR biasa Catatan: Z = X.Y = (X.Y) = (X +Y ) Catatan: Z = (X +Y ) = X.Y Sistem dan Logika Digital/2015 #51

53 Gerbang OR Alternatif (1) Gerbang OR dapat tersusun dari gabungan dari gerbang NOR dan NOT Sistem dan Logika Digital/2015 #52

54 Gerbang OR Alternatif (2) Gerbang OR dapat tersusun dari gerbang AND yang semua input dan outputnya aktif low seperti operasi AND biasa Catatan: Z = X+Y = (X+Y) = (X.Y ) Catatan: Z = (X.Y ) = X+Y Sistem dan Logika Digital/2015 #53

55 Gerbang NAND Alternatif Gerbang NAND dapat tersusun dari gerbang OR yang semua input-nya aktif low Z(H)=(X+Y)(H) seperti operasi OR biasa Catatan: Z = (X.Y) = X +Y Catatan: Z = X +Y = (X.Y) Sistem dan Logika Digital/2015 #54

56 Gerbang NOR Alternatif Gerbang NOR dapat tersusun dari gerbang AND yang semua input-nya aktif low X(L) Z(H)=(X.Y)(H) Y(L) seperti operasi OR biasa Catatan: Z = (X+Y) = X.Y Catatan: Z = X.Y = (X+Y) Sistem dan Logika Digital/2015 #55

57 Gerbang Inverter (NOT) Alternatif (1) Gerbang NOT menggunakan gerbang NAND: Salah satu input selalu dihubungkan ke HV atau 1(H) Semua input digabung menjadi satu Salah satu input selalu dihubungkan ke HV atau 0(L) Sistem dan Logika Digital/2015 #56

58 Gerbang Inverter (NOT) Alternatif (2) Gerbang NOT menggunakan gerbang NOR: Salah satu input selalu dihubungkan ke HV atau 1(H) Semua input digabung menjadi satu Salah satu input selalu dihubungkan ke HV atau 0(L) Sistem dan Logika Digital/2015 #57

59 Substitusi Gerbang Logika Sistem dan Logika Digital/2015 #58

60 Incompability Incompability terjadi ketika aktif High bertemu dengan aktif Low Sistem dan Logika Digital/2015 #59

61 Konversi dari Rangkaian Logika ke Fungsi Logika (1) Contoh 1: Tuliskan fungsi logikanya! Tips: mulai dari ujung awal (input) F(X,Y,Z) = XY+X Y Z Contoh 2: Tuliskan fungsi logikanya! (B +C)(H) A(L) ((B +C) + (AD ))(L) (A.D )(L) F(L) = ((B +C) + (AD ))(L) Sistem dan Logika Digital/2015 #60

62 Konversi dari Rangkaian Logika ke Fungsi Logika (2) Contoh 3: Tuliskan fungsi logikanya! (A +B) (A+C ) (B+C) F(A,B,C) = ((A +B) +(A+C ) +(B+C) ) Sistem dan Logika Digital/2015 #61

63 Konversi dari Rangkaian Logika ke Fungsi Logika (3) Latihan: Tuliskan fungsi logikanya! Sistem dan Logika Digital/2015 #62

64 Konversi dari Rangkaian Logika ke Fungsi Logika (4) Latihan: Tuliskan fungsi logikanya! Sistem dan Logika Digital/2015 #63

65 Konversi dari Fungsi Logika ke Rangkaian Logika (1) Tips: mulai dari ujung belakang (output) Contoh 1: Implementasikan fungsi F(L) = (x+y )(z+y) dengan gerbang NAND dan NOT sesedikit mungkin dimana x dan y aktif high dan z aktif low Jawab: Gerbang NAND: atau x(l) y (L) y(l) z(l) (x+y )(H) (z+y)(h) (x+y )(z+y)(l) Sistem dan Logika Digital/2015 #64

66 Konversi dari Fungsi Logika ke Rangkaian Logika (2) Contoh 2: Implementasikan G(H) = (A + B )(A + C) dengan gerbang AND, NOT, dan NAND sesedikit mungkin dimana A, B, dan C aktif Low Jawab: (alternatif I) Gerbang AND = Gerbang NAND = atau atau (A )(L) (B )(L) (A +B )(H) G(H)=(A +B )(A+C)(H) (A+C)(H) Sistem dan Logika Digital/2015 #65

67 Konversi dari Fungsi Logika ke Rangkaian Logika (3) Jawab: (alternatif II) G(H) = (A +B )(A+C)(H) = ((A +B )(A+C)) (L) = ((A +B ) +(A+C) )(L) = (A.B+A C )(L) Sistem dan Logika Digital/2015 #66

68 Konversi dari Fungsi Logika ke Rangkaian Logika (4) Contoh 3: Implementasikan F(A,B,C) = (A + B)(A + C )(B+C) dengan gerbang NOR seperti berikut: Jawab: F(A,B,C) = (A + B)(A + C )(B+C) = ((A + B)(A + C )(B+C)) = ((A +B) +(A+C ) +(B+C) ) Sistem dan Logika Digital/2015 #67

69 Konversi dari Fungsi Logika ke Rangkaian Logika (5) Contoh 4: Implementasikan F(A,B,C) = AB + BC + A C dengan gerbang NAND seperti berikut: Jawab: F(A,B,C) = AB + BC + A C = (AB + BC + A C) = ((AB ) (BC ) (A C) ) Sistem dan Logika Digital/2015 #68

70 Konversi dari Fungsi Logika ke Rangkaian Logika (6) Contoh 5: Implementasikan G(H) = (A + B )(A + C) dengan gerbang NOR dan NOT sesedikit mungkin dimana A, B, dan C aktif Low Jawab: (alternatif I) Gerbang NOR = atau (A )(H) (B )(H) (A +B )(L) G(H)=(A +B )(A+C)(H) (A)(H) (A+C)(L) (C)(H) Sistem dan Logika Digital/2015 #69

71 Konversi dari Fungsi Logika ke Rangkaian Logika (7) Jawab: (alternatif II) G(H) = (A +B )(A+C)(H) = ((A +B )(A+C)) (L) = ((A +B ) +(A+C) )(L) = (A.B+A C )(L) Sistem dan Logika Digital/2015 #70

72 Contoh Implementasi Logika Digital (1) Persamaan: Z = S A + S B Tabel kebenarannya: Implementasi dengan transistor Sistem dan Logika Digital/2015 #71

73 Contoh Implementasi Logika Digital (2) Persamaan: Z = S A + S B Implementasi dengan gerbang logika: Contoh packaging dalam IC TTL 74157: Multiplexer 4 bit Sistem dan Logika Digital/2015 #72

74 Konversi ke Gerbang NOR Ubah rangkaian dengan gerbang OR dan AND berikut dengan gerbang NOR: Solusi: Sistem dan Logika Digital/2015 #73

75 Konversi ke Gerbang NAND Ubah rangkaian dengan gerbang OR dan AND berikut dengan gerbang NAND: Solusi: incompatibility Sistem dan Logika Digital/2015 #74

76 ABEL dan VHDL Various hardware description languages ABEL VHDL We ll start with gates and work our way up Sistem dan Logika Digital/2015 #75

77 Implementasi Dengan Komponen Non-Diskrit Keandalan lebih tinggi Unjuk kerja rangkaian lebih tinggi Waktu desain lebih singkat Tak perlu minimisasi fungsi Cocok untuk fungsi berbentuk Kanonik SOP dan POS Siap untuk fungsi Multi Output Sistem dan Logika Digital/2015 #76

78 Pustaka [TIN91] Tinder, Richard F Digital Engineering Design : A Modern Approach. - edition. Prentice Hall. Sistem dan Logika Digital/2015 #77

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

IC (Integrated Circuits)

IC (Integrated Circuits) IC (Integrated Circuits) Crystal semikonduktor silikon (chip) yang didalamnya merupakan integritas dari komponen elektronik (representasi rangkaian gerbang logika) Rangkaian didalam IC dihubungkan dengan

Lebih terperinci

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS Percobaan 1 Membangun Gerbang Logika Dasar dengan Transistor CMOS 1.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Membangun CMOS Inverting Gate: NOT, NAND, dan NOR Mensimulasikan

Lebih terperinci

PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press

PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press PERANCANGAN SISTEM DIGITAL Rangkaian Logika Pernantin Tarigan Edisi ke-2 USU Press Designing with TTL Integrated Circuits Texas Instruments Inc. McGraw Hill International TTL Data Book Fairchild Semiconductor

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Teknologi Implementasi: CMOS dan Tinjauan Praktikal

Teknologi Implementasi: CMOS dan Tinjauan Praktikal Teknologi Implementasi: CMOS dan Tinjauan Praktikal Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Pendahuluan Apa beda rangkaian

Lebih terperinci

Sinyal Logik level dan Famili logik, perubah level

Sinyal Logik level dan Famili logik, perubah level 4 level dan Famili logik, perubah level Tujuan : Setelah mempelajari ini diharapkan. Memahami batasan tegangan yang diberlakukan pada logik 2. Memahami batasan tegangan yang diberlakukan pada logik 0 3.

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Flip-Flop (FF) Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Urut-Urutan Pembentukan Flip-Flop Fungsi Boolean

Lebih terperinci

MODUL II GATE GATE LOGIKA

MODUL II GATE GATE LOGIKA MODUL II GTE GTE LOGIK I. Tujuan instruksional khusus. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika

Lebih terperinci

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC Veronica Ernita K. 1), Erma Triawati Ch 2) 1,2,3) Jurusan Teknik Elektro Universitas Gunadarma Jl. Margonda Raya No. 100, Depok 16424, Jawa Barat, Indonesia

Lebih terperinci

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal untuk Gerbang Logika Kuliah#6 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 28 MODUL III GERBANG LOGIKA & RANGKAIAN KOMBINASIONAL Mata Pelajaran : Teknik Digital Kelas

Lebih terperinci

PERTEMUAN 1 SISTEM DIGITAL

PERTEMUAN 1 SISTEM DIGITAL PERTEMUAN 1 SISTEM DIGITAL Sasaran Pertemuan 1 - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

Sasaran Pertemuan 1. Tugas Kelompok

Sasaran Pertemuan 1. Tugas Kelompok Sasaran Pertemuan 1 PERTEMUAN 1 SISTEM DIGITAL - Mahasiswa diharapkan mengerti akan perbedaan antara sistem digital dan sistem analog - Mahasiswa diharapkan mengerti tentang macam macam dan cara kerja

Lebih terperinci

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya

Jurusan Teknik Elektro Fakultas Teknik Universitas Surabaya MA Modul Durasi : Teknologi Digital (61B023) : I / Karakteristik IC TTL dan Penyederhanaan Logika : 165 menit (1 sesi) PENDAHULUAN Teknologi elektronika telah berkembang sangat cepat sehingga hampir semua

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3 1. Kompetensi FAKULTAS TEKNIK No. LST/PTI/PTI6205/02 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat

Lebih terperinci

Gerbang Logika Dasar I

Gerbang Logika Dasar I Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 1 : Gerbang Logika Dasar I 11 Tujuan Mahasiswa mampu mengimplementasikan logika gerbang dasar ke hardware logika dasar 12 Alat & Bahan 1 IC Gerbang Logika

Lebih terperinci

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan

IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan Pengertian IC TTL Dan CMOS 9 IC atau integrated circuit adalah komponen elektronika semikonduktor yang merupakan gabungan dari ratusan atau ribuan komponen-komponen lain. Bentuk IC berupa kepingan silikon

Lebih terperinci

Rangkaian TTL. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. Rangkaian TTL

Rangkaian TTL. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. Rangkaian TTL TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Pokok Bahasan Kuliah Desain rangkaian digital menggunakan IC seri 74xx Metodologi desain rangkaian 74xx Tinjauan

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T.

LAPORAN PRAKTIKUM. Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. LAPORAN PRAKTIKUM Disusun Untuk Memenuhi Salah Satu Tugas Kelompok Mata Kuliah Praktikum Teknik Digital Dosen Pengampu Dr.Enjang A.Juanda,M.pd.,M.T. Oleh : Kelompok 7 Adhitya Sufarinto (1304927) Fernando

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

Tuhanmemberi. kelasini

Tuhanmemberi. kelasini SemogaTuhan Tuhanmemberi memberiberkah berkah padakelas kelasini ini. 1 RANGKAIAN DIGITAL SILABUS PERKULIAHAN 1. Sistem Digital 2. Sistem Bilangan 3. Gerbang Logika 4. Penyederhanaan Rangkaian Logika (Metode

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika.

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. 2. GTE GTE LOGIK I. Tujuan. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika merupakan dasar pembentuk

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE I. KISI-KISI 1. Sistem Digital dan Sistem Analog 2. Sistem Bilangan Biner 3. Konversi Bilangan 4. Aljabar Boole II. DASAR TEORI GERBANG LOGIKA Sistem elektronika sekarang ini masih mengandalkan bahan semikonduktor

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS Percobaan 2 Membangun Logika Kombinasi dengan Transistor CMOS 2.1. Tujuan Memberikan pengenalan terhadap VLSI Design CAD Tool: Electric TM Memperkenalkan pendekatan desain hirarki (Hierarchical Design

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A Arief Hendra Saptadi Jurusan Teknik Elektro Fakultas Teknik Universitas Muhammadiyah Semarang Jl. Kasipah no 10-12 Semarang

Lebih terperinci

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Rangkaian Logika Kombinasional Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Operasi logika dasar. Aljabar Boolean. (menggambarkan

Lebih terperinci

Integrated Circuit (IC)

Integrated Circuit (IC) Integrated Circuit (IC) mohammad iqbal iqbal.umk@gmail.com 085.626.74139 Evolusi Teknologi Elektronik (Rockett, 1991; McCanny & White, 1987) 1900-an: Tabung Vakum. 1905 Tabung vakum dioda elektronik pertama

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Simulasi Rancangan Gerbang Logika 3 Wide - 3 Input AND-Or-Inverter teknologi ECL

Simulasi Rancangan Gerbang Logika 3 Wide - 3 Input AND-Or-Inverter teknologi ECL 7 Simulasi Rancangan Gerbang Logika 3 Wide - 3 Input AND-Or-Inverter teknologi ECL Lily M. Sikome, Mochammad Rif an, Onny Setyawati danwijono Abstrak Paper ini berisi simulasi rancangan rangkaian 3 Wi

Lebih terperinci

MK SISTEM DIGITAL SESI III GERBANG LOGIKA

MK SISTEM DIGITAL SESI III GERBANG LOGIKA MK SISTEM DIGITAL SESI III GERBANG LOGIKA OLEH : HIDAAT Gerbang Logika Gerbang Logika adl. dasar pembentuk dalam sistem digital. beroperasi dalam bilangan biner (gerbang logika biner). Logika biner menggunakan

Lebih terperinci

MODUL I TEGANGAN KERJA DAN LOGIKA

MODUL I TEGANGAN KERJA DAN LOGIKA MODUL I TEGANGAN KERJA DAN LOGIKA I. Tujuan instruksional khusus 1. Menyelidiki Tegangan Kerja dari Integrated Cicuit (IC) Digital keluarga TTL. 2. Membuktikan Tegangan Logika IC Digital keluarga TTL II.

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

GERBANG LOGIKA DIGITAL

GERBANG LOGIKA DIGITAL LAPORAN PRAKTIKUM ELEKTRONIKA PERCOBAAN 09 GERBANG LOGIKA DIGITAL Disusun oleh : Kelompok : 1 Nama : Achmad Mushoffa 3.31.11.0.01 Agus Bekti Rohmadi 3.31.11.0.02 Alex Samona 3.31.11.0.03 Angger Eka Samekta

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/01 Revisi: 00 Tgl: 8 September 2014 Page 1 of 8 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Mikroprosesor. Bab 2: Jenis Mikroprosesor. Kategori: Teknologi Bahan Lebar Bus Data Pabrik Pembuat Generasi Jenis Instruksi. Jenis Mikroprosesor 1

Mikroprosesor. Bab 2: Jenis Mikroprosesor. Kategori: Teknologi Bahan Lebar Bus Data Pabrik Pembuat Generasi Jenis Instruksi. Jenis Mikroprosesor 1 Mikroprosesor Bab 2: Jenis Mikroprosesor Kategori: Teknologi Bahan Lebar Bus Data Pabrik Pembuat Generasi Jenis Instruksi Jenis Mikroprosesor 1 Atas Dasar Teknologi Bahannya Mikroprosesor dan keluarga

Lebih terperinci

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON

PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON PROPOSAL EC6030 PERANCANGAN SENSOR INFRA RED (IR) UNTUK NAVIGASI ROBOT BERBASIS FPGA DAN up LEON Oleh : Agus Mulyana 23207025 MAGISTER TEKNIK ELEKTRO SEKOLAH TINGGI ELEKTRO DAN INFORMATIKA INSTITUT TEKNOLOGI

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

X = A Persamaan Fungsi Gambar 1. Operasi NOT

X = A Persamaan Fungsi Gambar 1. Operasi NOT No. LST/EKO/DEL 214/01 Revisi : 01 Tgl : 1 Februari 2010 Hal 1 dari 8 1. Kompetensi Memahami cara kerja gerbang logika dasar dan gerbang perluasan logika dasar 2. Sub Kompetensi - Membuat rangkaian dengan

Lebih terperinci

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE

PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE Engelin SJ Pengaruh Sifat Inversi. PENGARUH SIFAT INVERSI PENJUMLAH TERHADAP KINERJA PENJUMLAH COMPLEMENTARY METAL OXIDE (CMOS) STATIK 4-BIT Engelin Shintadewi Julian 1) 1) Department of Electrical Engineering,

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

Rangkaian Gerbang Digital Bipolar Pertemuan ke-9

Rangkaian Gerbang Digital Bipolar Pertemuan ke-9 Rangkaian Gerbang Digital Bipolar Pertemuan ke-9 Pendahuluan Teknologi berkembang sangat pesat. Bermacam-macam alat dihasilkan, hampir semua peralatan yang bekerja dengan tegangan listrik sudah menggunakan

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, III. METODE PENELITIAN 3.1. Waktu dan Tempat Penelitian Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015, pembuatan alat dan pengambilan data dilaksanakan di Laboratorium

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

DIODE TRANSISTOR LOGIC (DTL)

DIODE TRANSISTOR LOGIC (DTL) DIODE TRANSISTOR LOGIC (DTL) Rangkaian NAND R1 I 1 R C I C X Y Z 0 0 1 X D1 A D3 I 2 D4 B I B Z 0 1 1 0 1 1 1 1 0 D2 Y I 3 R2 I E -V BB Gambar 1.4. Rangkaian NAND rumpun DTL Jika masukan X dan Y keduanya

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

ANTAR MUKA DST-51 DENGAN MODUL AD-0809

ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ANTAR MUKA DST-51 DENGAN MODUL AD-0809 ADC0809 ADC0809 adalah IC pengubah tegangan analog menjadi digital dengan masukan berupa 8 kanal input yang dapat dipilih. IC ADC0809 dapat melakukan proses konversi

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 1.1. Metode Penelitian Metode penelitian yang digunakan pada rancang bangun pengukur kecepatan kendaraan menggunakan sensor GMR adalah metode deskriftif dan eksperimen. Melalui

Lebih terperinci

Rancangan Dan Pembuatan Storage Logic Analyzer

Rancangan Dan Pembuatan Storage Logic Analyzer Rancangan Dan Pembuatan Storage Logic Analyzer M. Ulinuha Puja D. S.,Pembimbing 1:Waru Djuriatno, Pembimbing 2:Moch. Rif an Abstrak Teknologi yang berkembang pesat saat ini telah mendorong percepatan di

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

Modul 5 : Rangkaian Sekuensial 1

Modul 5 : Rangkaian Sekuensial 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 5 : Rangkaian Sekuensial 1 5.1 Tujuan Mahasiswa mampu mengetahui cara kerja Flip Flop dan membuat rangkaiannya. 5.2 Alat & Bahan 1. IC Gerbang Logika :

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32

Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32 Rangkaian Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32 Bahasan Representasi Biner Konsep Dasar Elemen Biner Fungsi AND

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

GERBANG GERBANG LOGIKA

GERBANG GERBANG LOGIKA GERBANG GERBANG LOGIKA Gerbang-gerbang logika atau dapat juga dinamai rangkaian pintu (gate circuits). Gerbang-gerbang logika ini banyak sekali penerapannya di dunia industri terutama yang digunakan dalam

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA

Mata Kuliah Arsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi This presentation is revised by HA Mata Kuliah rsitektur Komputer Program Studi Sistem Informasi 2012/2013 STMIK Dumai -- Materi 10 -- This presentation is revised by H Digital Principles and pplications, Leach- Malvino, McGraw-Hill dhi

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs.

Bentuk Standar Ungkapan Boolean. Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Instruktur : Ferry Wahyu Wibowo, S.Si., M.Cs. Bentuk Standar Ungkapan Boolean Sum-of-Product (SOP) Diturunkan dari tabel kebenaran untuk fungsi dengan mempertimbangkan baris

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang BAB I PENDAHULUAN A. Latar Belakang Masalah Gerbang Logika merupakan blok dasar untuk membentuk rangkaian elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang harus kita pelajari

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2)

Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Konsep Organisasi dan Arsitektur Komputer (Pertemuan ke-2) Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Januari 2016 Pokok Bahasan Pendahuluan Arsitektur

Lebih terperinci

Percobaan 9 Gerbang Gerbang Logika

Percobaan 9 Gerbang Gerbang Logika Percobaan 9 Gerbang 9. Tujuan : Setelah mempraktekkan Topik ini, anda diharapkan dapat : Mengetahui macam-macam Gerbang logika dasar dalam sistem digital. Mengetahui tabel kebenaran masing-masing gerbang

Lebih terperinci

GERBANG LOGIKA DASAR

GERBANG LOGIKA DASAR GERNG LOGIK DSR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang logika mempunyai satu terminal output dansatuataulebihterminal input Output-outputnya bisa bernilai

Lebih terperinci

Gambar 1.1 Logic diagram dan logic simbol IC 7476

Gambar 1.1 Logic diagram dan logic simbol IC 7476 A. Judul : FLIP-FLOP JK B. Tujuan Kegiatan Belajar 15 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : 1) Mengetahui cara kerja rangkaian Flip-Flop J-K. 2) Merangkai rangkaian Flip-Flop J-K.

Lebih terperinci

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB

MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB MODUL SIMULASI RANGKAIAN ELEKTRONIKA ANALOG DAN DIGITAL DENGAN EWB Oleh : Muhamad Ali, M.T Disampaikan pada Pelatihan dan Pendampingan Simulasi Rangkaian Elektronika Digital Berbasis Komputer JURUSAN PENDIDIKAN

Lebih terperinci

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013

ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun :. Imam Purwanto, S.Kom, MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom ebook PRINSIP & PERANCANGAN LOGIKA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Gerbang dan Rangkaian Logika

Gerbang dan Rangkaian Logika Gerbang dan Rangkaian Logika Teknik Digital (TKE 071207) Iwan Setiawan stwn at unsoed.ac.id Pemutakhiran terakhir: 24/04/11 20:51 rangkaian digital beroperasi dalam mode biner. (masukan tegangan bernilai

Lebih terperinci