Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32

Ukuran: px
Mulai penontonan dengan halaman:

Download "Rangkaian Logika. Eko Didik Widianto. Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32"

Transkripsi

1 Rangkaian Eko Didik Widianto Sistem Komputer - Universitas eko didik widianto - siskom undip SK205 Sistem Digital 1 / 32

2 Bahasan Representasi Biner Konsep Dasar Elemen Biner Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Analisis Rangkaian Diagram eko didik widianto - siskom undip SK205 Sistem Digital 2 / 32

3 Representasi Biner Konsep Dasar Elemen Biner Analisis Rangkaian Elemen eko didik widianto - siskom undip SK205 Sistem Digital 3 / 32

4 Sistem Digital Representasi Biner Konsep Dasar Elemen Biner Analisis Rangkaian Sistem digital mengacu pada rangkaian elektronik yang menghadirkan informasi dalam bentuk diskrit informasi diwujudkan hanya menggunakan 2 level tegangan level tegangan mewakili nilai kebenaran (benar/salah) untuk analisis dalam bentuk rangkaian logika menambah kehandalan dan akurasi Representasi diskrit sinyal audio eko didik widianto - siskom undip SK205 Sistem Digital 4 / 32

5 Representasi Biner Representasi Biner Konsep Dasar Elemen Biner Analisis Rangkaian Representasi diskrit paling sederhana dalam sistem digital adalah biner, yang hanya dapat mempunyai 2 nilai keadaan (state) apakah switch terbuka atau tertutup apakah lampu menyala atau mati Dapat dilihat sebagai keadaan logika benar (=1) atau salah (=0). Dalam rangkaian: logika positif (active-high logic): tegangan tinggi=1, tegangan rendah=0 logika negatif (active-low logic): tegangan tinggi=0, tegangan rendah=1 Keadaan salah dan benar dinyatakan dengan 0 dan 1. Nilai 0 dan 1 adalah digit biner (base 2) atau bit (binary eko didik widianto - siskom undip SK205 Sistem Digital 5 / 32

6 Representasi Biner: Konsep Dasar Representasi Biner Konsep Dasar Elemen Biner Rangkaian dengan switch yang mengontrol lampu Analisis Rangkaian Sinyal switch_pressed menunjukkan keadaan dari saklar Saat saklar ditekan, menunjukkan keadaan switch_pressed benar (=1). Saat saklar dilepas, menunjukkan kondisi switch_pressed salah (=0) switch_pressed disebut variabel masukan Sinyal lamp_lit menunjukkan nyala lampu (1: menyala, 0: mati) switch_pressed=1 menyebabkan keadaan lamp_lit benar (=1). Dan sebaliknya lamp_lit disebut variabel eko didik widianto - siskom undip SK205 Sistem Digital 6 / 32

7 Elemen Biner: Saklar Representasi Biner Konsep Dasar Elemen Biner Analisis Rangkaian Elemen biner paling sederhana adalah sebuah saklar yang mempunyai 2 keadaan x mewakili keadaan dari saklar x=0 saat saklar terbuka/terputus x=1 saat saklar eko didik widianto - siskom undip SK205 Sistem Digital 7 / 32

8 Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis eko didik widianto - siskom undip SK205 Sistem Digital 8 / 32

9 Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian Contoh kontrol lampu Keluaran didefinisikan sebagai keadaan dari lampu L lampu menyala L=1, lampu mati L=0 Keadaan L, sebagai fungsi dari x, yaitu L(x) L(x) adalah fungsi logika, x adalah sebuah variabel eko didik widianto - siskom undip SK205 Sistem Digital 9 / 32

10 Fungsi AND Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian Misalnya terdapat 2 saklar untuk mengontrol lampu Menggunakan hubungan seri, lampu hanya akan menyala hanya jika kedua saklar terhubung Ekspresi fungsi logika AND dari variabelx 1 danx 2 adalah x 1 x 2 L = 1 jika dan hanya jikax 1 DANx 2 adalah 1 Fungsi logika AND Operator AND (.) x 1 x 2 = x 1 x 2 Rangkaian mengimplementasikan fungsi logika eko didik widianto - siskom undip SK205 Sistem Digital 10 / 32

11 Fungsi OR Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Menggunakan hubungan paralel, lampu hanya akan menyala hanya jika salah satu atau kedua saklar terhubung Ekspresi fungsi logika OR dari variabelx 1 danx 2 adalah x 1 +x 2 L = 1 jikax 1 ATAUx 2 adalah 1 (atau keduanya) Analisis Rangkaian Fungsi logika OR Operator OR (+) Rangkaian mengimplementasikan fungsi logika eko didik widianto - siskom undip SK205 Sistem Digital 11 / 32

12 Kombinasi AND-OR Kombinasi hubungan serial dan paralel Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran L(x 1,x 2,x 3 ) = (x 1 +x 2 ) x 3 Analisis Rangkaian L(x 1,x 2,x 3,x 4 ) = (x 1 x 2 )+(x 3 x 4 eko didik widianto - siskom undip SK205 Sistem Digital 12 / 32

13 Gerbang N-Variabel Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Gambar rangkaian implementasi fungsi AND 3 variabel dan n-variabel Gambar rangkaian implementasi fungsi OR 3 variabel dan n-variabel Analisis eko didik widianto - siskom undip SK205 Sistem Digital 13 / 32

14 Fungsi Inversi (NOT) Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian Sebelumnya, lampu menyala saat saklar terhubung. Bagaimana kalau sebaliknya? Lampu menyala saat saklar terputus Ekspresi fungsi logika NOT dari variabelxadalahx L = 1 jika x = 0 dan L = 0 jika x = 1 L(x) merupakan invers (komplemen) dari x Fungsi logika NOT Ekspresi: x, x, NOT x Rangkaian mengimplementasikan fungsi logika eko didik widianto - siskom undip SK205 Sistem Digital 14 / 32

15 Inversi suatu Fungsi Jika suatu fungsi didefinisikan dalam persamaan Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian f(x 1,x 2 ) = x 1 +x 2 Maka komplemen dari f adalah f(x 1,x 2 ) = x 1 +x 2 = (x 1 +x 2 ) Demikian pula, jika suatu fungsi didefinisikan dalam persamaan f(x 1,x 2 ) = x 1 x 2 Maka komplemen dari f adalah f(x 1,x 2 ) = x 1 x 2 = (x 1 x 2 eko didik widianto - siskom undip SK205 Sistem Digital 15 / 32

16 Inversi suatu Fungsi Gambarkan diagram rangkaian fungsi NAND dan NOR 2-masukan Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis eko didik widianto - siskom undip SK205 Sistem Digital 16 / 32

17 Implementasi Fungsi NAND Implementasi fungsi NAND dengan CMOS dan BJT Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian Implementasi fungsi NAND dengan CMOS dan BJT Source: eko didik widianto - siskom undip SK205 Sistem Digital 17 / 32

18 Tabel Kebenaran Cara mendefinisikan fungsi logika: Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian 1. Dengan ekspresi fungsi. Misalnya: x 1 x 2 adalah ekspresi fungsi AND 2 masukan. Dua ekspresi yang bernilai sama membentuk persamaan logika. Misalnya: y = x 1 x 2 2. Dengan menggunakan tabel kebenaran Daftar tabular yang berisi nilai keadaan fungsi untuk semua kombinasi nilai masukan (perolehan nilai, eko didik widianto - siskom undip SK205 Sistem Digital 18 / 32

19 Tabel Kebenaran: 3 variabel Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Tabel kebenaran fungsi AND dan OR 3 variabel: AND-3, OR-3 Untuk fungsi 3-variabel, terdapat 8 kombinasi masukan Untuk fungsi n-variabel, terdapat2 n kombinasi masukan Analisis eko didik widianto - siskom undip SK205 Sistem Digital 19 / 32

20 Tabel Kebenaran suatu Fungsi Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran JikaL(x,y,z) = x+yz, maka tabel kebenaran untuk L adalah: Analisis eko didik widianto - siskom undip SK205 Sistem Digital 20 / 32

21 Tabel Kebenaran suatu Fungsi Fungsi AND Fungsi OR Fungsi Inversi (NOT) Tabel Kebenaran Analisis Rangkaian Buktikan teorema demorgan: 1. x 1 +x 2 = (x 1 x 2 ) 2. x 1 x 2 = (x 1 +x 2 ) Dengan pembuktian induktif, membandingkan tabel kebenaran dari tiap ekspresi fungsi. Jika sama, dapat dikatakan bahwa kedua fungsi eko didik widianto - siskom undip SK205 Sistem Digital 21 / 32

22 Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis eko didik widianto - siskom undip SK205 Sistem Digital 22 / 32

23 Gerbang Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Tiap operasi logika dasar (AND, OR, NOT, MUX) dapat diimplementasikan menjadi satu elemen rangkaian, disebut gerbang logika Satu gerbang logika mempunya satu atau lebih masukan dan satu keluaran Keluaran merupakan fungsi logika dari eko didik widianto - siskom undip SK205 Sistem Digital 23 / 32

24 Simbol Gerbang Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Kedua simbol didefinisikan dalam ANSI/IEEE Std dan Std 91a-1991 Simbol tradisional mengambil standar MIL-STD-806 (1950 dan 1960) Fungsi mempunyai bentuk yang unik dan mudah dimengerti sehingga banyak digunakan di industri maupun pendidikan Digunakan untuk skematik sederhana Simbol IEC berbentuk kotak dengan simbol fungsi di dalamnya Ditujukan untuk rangkaian eko didik widianto - siskom undip SK205 Sistem Digital 24 / 32

25 Rangkaian Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Rangkaian logika tersusun atas gerbang-gerbang logika yang saling terhubung Disebut juga sebagai jaringan logika Analisis eko didik widianto - siskom undip SK205 Sistem Digital 25 / 32

26 Rangkaian Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Gambar rangkaian logika dan isi tabel kebenaran untuk fungsi berikut F(a,b,c) = ac+bc Analisis eko didik widianto - siskom undip SK205 Sistem Digital 26 / 32

27 Rangkaian Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Gambar rangkaian logika dan isi tabel kebenaran untuk fungsi berikut F(a,b,c) = ac+bc Analisis eko didik widianto - siskom undip SK205 Sistem Digital 26 / 32

28 Mendefinisikan Fungsi Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Nyatakan persamaan fungsi bolean untuk rangkaian di eko didik widianto - siskom undip SK205 Sistem Digital 27 / 32

29 Mendefinisikan Fungsi Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Nyatakan persamaan fungsi bolean untuk rangkaian di atas L(x 1,x 2,x 3,x 4 ) = (x 1 x 2 )+(x 3 x 4 ) Gambar rangkaian logikanya Buat tabel eko didik widianto - siskom undip SK205 Sistem Digital 27 / 32

30 Mendesain Rangkaian Desain rangkaian logika berdasarkan kebutuhan sebagai berikut: Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Diinginkan suhu dan level cairan dalam penampung selalu terjaga. Suhu normal yang diinginkan adalah antara 25C dan 40C. Sensor suhu yang ada adalah sensor untuk mendeteksi suhu di atas 25C dan suhu di atas 40C. Untuk menjaga level cairan, sebuah saklar digunakan untuk mengaktifkan sensor level. Buzzer akan berbunyi jika suhu terlalu tinggi (>40C) atau terlalu rendah (<25C). Buzzer juga berbunyi jika level cairan kurang saat saklar sensor level eko didik widianto - siskom undip SK205 Sistem Digital 28 / 32

31 Mendesain Rangkaian Desain rangkaian logika berdasarkan kebutuhan sebagai berikut: Gerbang Simbol Gerbang Rangkaian Mendesain Rangkaian Analisis Rangkaian Diinginkan suhu dan level cairan dalam penampung selalu terjaga. Suhu normal yang diinginkan adalah antara 25C dan 40C. Sensor suhu yang ada adalah sensor untuk mendeteksi suhu di atas 25C dan suhu di atas 40C. Untuk menjaga level cairan, sebuah saklar digunakan untuk mengaktifkan sensor level. Buzzer akan berbunyi jika suhu terlalu tinggi (>40C) atau terlalu rendah (<25C). Buzzer juga berbunyi jika level cairan kurang saat saklar sensor level diaktifkan Terdapat 5 variabel masukan: suhu >40C (x 1 ), suhu >25C (x 2 ), level kurang (x 3 ), saklar aktif (x 4 ) keluaran: buzzer berbunyi (y) Persamaan logikanya: y = x 1 +x 2 +(x 3 x 4 ). Rangkaian eko didik widianto - siskom undip SK205 Sistem Digital 28 / 32

32 Analisis Rangkaian Analisis Rangkaian Diagram Pewaktuan Analisis eko didik widianto - siskom undip SK205 Sistem Digital 29 / 32

33 Analisis Rangkaian Untuk menentukan perilaku fungsional dari rangkaian logika, dapat dilakukan dengan memberikan semua kombinasi sinyal masukan yang mungkin ke rangkaian Analisis Rangkaian Analisis Rangkaian Diagram Pewaktuan Analsis rangkaian logika untuk fungsif = x 1 +x 1 x eko didik widianto - siskom undip SK205 Sistem Digital 30 / 32

34 Diagram Pewaktuan Analisis Rangkaian Analisis Rangkaian Diagram Pewaktuan Fungsi dari rangkaian logika dapat ditunjukkan dengan diagram pewaktuan Memberikan perilaku dinamik dari rangkaian Diagram pewaktuan fungsif = x 1 +x 1 x eko didik widianto - siskom undip SK205 Sistem Digital 31 / 32

35 Penutup Analisis Rangkaian Analisis Rangkaian Diagram Pewaktuan Representasi biner dengan 2 nilai keadaan dan elemen biner Variabel dan fungsi logika dasar (AND, OR, NOT) Tabel kebenaran untuk mendefinisikan fungsi logika Gerbang dan rangkaian logika Analisis rangkaian logika dan diagram eko didik widianto - siskom undip SK205 Sistem Digital 32 / 32

Rangkaian Logika. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Rangkaian Logika. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. Kuliah#2 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Sebelumnya dibahas tentang: Deskripsi, tujuan, sasaran dan materi kuliah TSK205 Sistem

Lebih terperinci

Rangkaian Logika. Kuliah#2 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro

Rangkaian Logika. Kuliah#2 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. Sistem Komputer - Universitas Diponegoro Kuliah#2 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Tentang Kuliah Sebelumnya dibahas tentang: Deskripsi, tujuan, sasaran dan

Lebih terperinci

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR

Gerbang logika dasar: AND, OR, NOT, NAND dan NOR K O N S E P R A N G K A I A N L O G I K A 1 Sistem digital dapat dimodelkan ke dalam rangkaian logika. Rangkaian logika ini mempunyai satu atau lebih masukan dan satu atau/lebih keluaran. Rangkaian logika

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 39 Review Kuliah Sebelumnya

Lebih terperinci

Aljabar Boolean, Sintesis Ekspresi Logika

Aljabar Boolean, Sintesis Ekspresi Logika , Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto siskom-undip SK205 Sistem Digital 1 / 38 Review Kuliah Sebelumnya konsep rangkaian logika telah

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Organisasi & Arsitektur Komputer

Organisasi & Arsitektur Komputer Organisasi & Arsitektur Komputer 1 Logika Digital Eko Budi Setiawan, S.Kom., M.T. Eko Budi Setiawan mail@ekobudisetiawan.com www.ekobudisetiawan.com Teknik Informatika - UNIKOM 2013 Pendahuluan Gerbang

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

MK SISTEM DIGITAL SESI III GERBANG LOGIKA

MK SISTEM DIGITAL SESI III GERBANG LOGIKA MK SISTEM DIGITAL SESI III GERBANG LOGIKA OLEH : HIDAAT Gerbang Logika Gerbang Logika adl. dasar pembentuk dalam sistem digital. beroperasi dalam bilangan biner (gerbang logika biner). Logika biner menggunakan

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean 1 Definisi Aljabar Boolean Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan huruf-huruf

Lebih terperinci

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika

Perancangan Rangkaian Logika. Sintesis Rangkaian Logika Sintesis Rangkaian Logika Eko Didik Widianto (didik@undip.ac.id) 21 Maret 2011 Program Studi Sistem Komputer - Universitas Diponegoro Artikel ini menjelaskan secara khusus langkah-langkah sintesis untuk

Lebih terperinci

Teknologi Implementasi: CMOS dan Tinjauan Praktikal

Teknologi Implementasi: CMOS dan Tinjauan Praktikal Teknologi Implementasi: CMOS dan Tinjauan Praktikal Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

Aljabar Boolean dan Sintesis Fungsi. Logika

Aljabar Boolean dan Sintesis Fungsi. Logika dan Sintesis Fungsi dan Sintesis Fungsi Kuliah#3 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Pengantar dan Sintesis Fungsi Dalam

Lebih terperinci

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#3 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto ,, Kuliah#3 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro , Sebelumnya dibahas tentang konsep rangkaian logika: Representasi biner dan saklar sebagai elemen

Lebih terperinci

GERBANG GERBANG LOGIKA

GERBANG GERBANG LOGIKA GERBANG GERBANG LOGIKA Gerbang-gerbang logika atau dapat juga dinamai rangkaian pintu (gate circuits). Gerbang-gerbang logika ini banyak sekali penerapannya di dunia industri terutama yang digunakan dalam

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

Hanif Fakhrurroja, MT

Hanif Fakhrurroja, MT Pertemuan 3 Organisasi Komputer Logika Digital Hanif Fakhrurroja, MT PIKSI GNESH, 2013 Hanif Fakhrurroja @hanifoza hanifoza@gmail.com http://hanifoza.wordpress.com Pendahuluan Hanif Fakhrurroja, 2013 http://hanifoza.wordpress.com

Lebih terperinci

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN

Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJABAR BOOLEAN Algoritma & Pemrograman 2C Halaman 1 dari 7 ALJAAR OOLEAN Aljabar boolean merupakan aljabar yang berhubungan dengan variabel-variabel biner dan operasi-operasi logik. Variabel-variabel diperlihatkan dengan

Lebih terperinci

MODUL 3 GERBANG LOGIKA DASAR

MODUL 3 GERBANG LOGIKA DASAR MODUL 3 GERBANG LOGIKA DASAR A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN. Tema : Gerbang Logika Dasar 2. Fokus Pembahasan Materi Pokok :. Definisi Gerbang Logika Dasar 2. Gerbang-gerbang Logika Dasar 3. Tujuan

Lebih terperinci

Gerbang dan Rangkaian Logika

Gerbang dan Rangkaian Logika Gerbang dan Rangkaian Logika Teknik Digital (TKE 071207) Iwan Setiawan stwn at unsoed.ac.id Pemutakhiran terakhir: 24/04/11 20:51 rangkaian digital beroperasi dalam mode biner. (masukan tegangan bernilai

Lebih terperinci

Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed

Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Gerbang dan Rangkaian Logika Teknik Digital (TKE071207) Program Studi Teknik Elektro, Unsoed Iwan Setiawan Tahun Ajaran 2012/2013 Brown, Vranesic (2005) Tocci, Widmer, Moss (2007)

Lebih terperinci

Metode Minimisasi Quine McKluskey dan Rangkaian Multilevel

Metode Minimisasi Quine McKluskey dan Rangkaian Multilevel Metode Minimisasi Quine McKluskey dan Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

TEORI DASAR DIGITAL (GERBANG LOGIKA)

TEORI DASAR DIGITAL (GERBANG LOGIKA) #14 TEORI DSR DIGITL (GERNG LOGIK) Gerbang logika dapat didefinisikan sebagai peralatan yang dapat menghasilkan suatu output hanya bila telah ditentukan sebelumnya kondisi input yang ada. Dalam hal ini

Lebih terperinci

Representasi Boolean

Representasi Boolean Aljabar Boolean Boolean Variable dan Tabel Kebenaran Gerbang Logika Aritmatika Boolean Identitas Aljabar Boolean Sifat-sifat Aljabar Boolean Aturan Penyederhanaan Boolean Fungsi Eksklusif OR Teorema De

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

GERBANG LOGIKA & SISTEM BILANGAN

GERBANG LOGIKA & SISTEM BILANGAN GERBANG LOGIKA & SISTEM BILANGAN I. GERBANG LOGIKA Gerbang-gerbang dasar logika merupakan elemen rangkaian digital dan rangkaian digital merupakan kesatuan dari gerbang-gerbang logika dasar yang membentuk

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

DASAR-DASAR RANGKAIAN SEKUENSIAL 2 PERCOBAAN 2. DASAR-DASAR RANGKAIAN SEKUENSIAL 2 2.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Membuat SR Flip-flop dari gerbang NOR Membuat SR Flip-flop dari gerbang NAND

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean 1 UNTUK DOWNLOAD LEBIH BANYAK EBOOKS TENTANG KOMPUTER KUNJUNGI http://wirednotes.blogspot.com Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner: - B : himpunan

Lebih terperinci

dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner).

dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner). Gerbang Logika dasar pembentuk dlm sistem digital. beroperasi dlm bilangan biner (gerbang logika biner). Logika biner menggunakan dua buah nilai yaitu 0 dan 1. Logika biner yang digunakan dlm sistem digital,

Lebih terperinci

Rangkaian TTL. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. Rangkaian TTL

Rangkaian TTL. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. Rangkaian TTL TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Pokok Bahasan Kuliah Desain rangkaian digital menggunakan IC seri 74xx Metodologi desain rangkaian 74xx Tinjauan

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital - Siskom Undip 1 / 18 Review Kuliah Di kuliah

Lebih terperinci

BAB 2 GERBANG LOGIKA & ALJABAR BOOLE

BAB 2 GERBANG LOGIKA & ALJABAR BOOLE SISTEM DIGITL 16 2 GERNG LOGIK & LJR OOLE Gerbang Logika (Logical Gates) atau gerbang digital merupakan komponen dasar elektronika digital. erbeda dengan komponen elektronika analog yang mempunyai tegangan

Lebih terperinci

4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar. Gambar 4.1 Rangkaian logika dengan ekspresi Booleannya

4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar. Gambar 4.1 Rangkaian logika dengan ekspresi Booleannya BAB IV ALJABAR BOOLEAN 4.1 Menguraikan Rangkaian-Rangkaian Logika Secara Aljabar Setiap rangkaian logika, bagaimanapun kompleksnya, dapat diuraikan secara lengkap dengan menggunakan operasi-operasi Boolean

Lebih terperinci

BAHAN AJAR SISTEM DIGITAL

BAHAN AJAR SISTEM DIGITAL BAHAN AJAR SISTEM DIGITAL JURUSAN TEKNOLOGI KIMIA INDUSTRI PENDIDIKAN TEKNOLOGI KIMIA INDUSTRI MEDAN Disusun oleh : Golfrid Gultom, ST Untuk kalangan sendiri 1 DASAR TEKNOLOGI DIGITAL Deskripsi Singkat

Lebih terperinci

Percobaan 9 Gerbang Gerbang Logika

Percobaan 9 Gerbang Gerbang Logika Percobaan 9 Gerbang 9. Tujuan : Setelah mempraktekkan Topik ini, anda diharapkan dapat : Mengetahui macam-macam Gerbang logika dasar dalam sistem digital. Mengetahui tabel kebenaran masing-masing gerbang

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

GERBANG LOGIKA DASAR

GERBANG LOGIKA DASAR GERNG LOGIK DSR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang logika mempunyai satu terminal output dansatuataulebihterminal input Output-outputnya bisa bernilai

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

BAB 1. KONSEP DASAR DIGITAL

BAB 1. KONSEP DASAR DIGITAL 1. KONSEP DSR DIGITL Materi : 1. Representasi entuk Digital dan nalog 2. entuk Sinyal Digital 3. Transmisi Serial & Paralel 4. Switch dalam Rangkaian Elektronika 5. Gerbang Logika Dasar 6. Tabel Kebenaran

Lebih terperinci

Kuliah#12 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#12 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#12 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ @2017,Eko Didik 1 Pengantar

Lebih terperinci

Aljabar Boolean. Rudi Susanto

Aljabar Boolean. Rudi Susanto Aljabar Boolean Rudi Susanto Tujuan Pembelajaran Bisa menghasilkan suatu realisasi rangkaian elektronika digital dari suatu persamaan logika matematika Persamaan logika matematika tersebut dimodifikasi

Lebih terperinci

BAB III RANGKAIAN LOGIKA

BAB III RANGKAIAN LOGIKA BAB III RANGKAIAN LOGIKA Alat-alat digital dan rangkaian-rangkaian logika bekerja dalam sistem bilangan biner; yaitu, semua variabel-variabel rangkaian adalah salah satu 0 atau 1 (rendah atau tinggi).

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

MODIFIKASI APLIKASI RANGKAIAN LOGIKA

MODIFIKASI APLIKASI RANGKAIAN LOGIKA MODIFIKASI APLIKASI RANGKAIAN LOGIKA I. TUJUAN : - Mampu menterjemahkan pernyataan logika menjadi bahasa logika - Mampu mengubah bahasa logika menjadi bahasa logika biner dalam bentuk tabel kebenaran/tabel

Lebih terperinci

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi

Rangkaian Logika Optimal: Peta Karnaugh dan Strategi Minimisasi Rangkaian Logika Optimal: dan Strategi Minimisasi Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Analog Digital

2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Analog Digital 2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Komputer yang dipakai saat ini adalah sebuah pemroses data. Fungsinya sangat sederhana : Untuk memproses data, kemudian hasil prosesnya

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA Alokasi Waktu : 8 x 45 menit Tujuan Instruksional Khusus : 1. Mahasiswa dapat menjelaskan theorema dan sifat dasar dari aljabar Boolean. 2. Mahasiswa dapat menjelaskan

Lebih terperinci

X = A Persamaan Fungsi Gambar 1. Operasi NOT

X = A Persamaan Fungsi Gambar 1. Operasi NOT No. LST/EKO/DEL 214/01 Revisi : 01 Tgl : 1 Februari 2010 Hal 1 dari 8 1. Kompetensi Memahami cara kerja gerbang logika dasar dan gerbang perluasan logika dasar 2. Sub Kompetensi - Membuat rangkaian dengan

Lebih terperinci

Prinsip Aljabar Boolean Sederhana pada Seat Belt Warning System

Prinsip Aljabar Boolean Sederhana pada Seat Belt Warning System Prinsip Aljabar Boolean Sederhana pada Seat Belt Warning System Rama Febriyan 13511067 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung, Jl. Ganesha 10

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Representasi Bilangan dan Operasi Aritmatika Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE I. KISI-KISI 1. Sistem Digital dan Sistem Analog 2. Sistem Bilangan Biner 3. Konversi Bilangan 4. Aljabar Boole II. DASAR TEORI GERBANG LOGIKA Sistem elektronika sekarang ini masih mengandalkan bahan semikonduktor

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1

Aljabar Boolean. Rinaldi Munir/IF2151 Mat. Diskrit 1 Aljabar Boolean Rinaldi Munir/IF25 Mat. Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

Aljabar Boolean. Bahan Kuliah Matematika Diskrit

Aljabar Boolean. Bahan Kuliah Matematika Diskrit Aljabar Boolean Bahan Kuliah Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan -

Lebih terperinci

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal

Implementasi CMOS untuk Gerbang Logika dan Tinjauan Praktikal untuk Gerbang Logika Kuliah#6 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

GERBANG LOGIKA DIGITAL

GERBANG LOGIKA DIGITAL LAPORAN PRAKTIKUM ELEKTRONIKA PERCOBAAN 09 GERBANG LOGIKA DIGITAL Disusun oleh : Kelompok : 1 Nama : Achmad Mushoffa 3.31.11.0.01 Agus Bekti Rohmadi 3.31.11.0.02 Alex Samona 3.31.11.0.03 Angger Eka Samekta

Lebih terperinci

Gambar 1.13 Board evaluasi FPGA Xilinx Spartan-3E dari Digilenc Gambar 1.14 Aplikasi PLD untuk kamera fotografi berkecepatan

Gambar 1.13 Board evaluasi FPGA Xilinx Spartan-3E dari Digilenc Gambar 1.14 Aplikasi PLD untuk kamera fotografi berkecepatan D A F TA R G A M B A R Gambar 1.1 Sinyal v(t) = 5 sin (2πt) dimana besar tegangan merupakan fungsi dari waktu t... 4 Gambar 1.2 Diagram blok sistem yang terdiri atas masukan, keluaran, proses dan elemen

Lebih terperinci

DASAR TEKNIK DIGITAL (1) GERBANG-GERBANG LOGIKA DASAR

DASAR TEKNIK DIGITAL (1) GERBANG-GERBANG LOGIKA DASAR DASAR TEKNIK DIGITAL (1) GERBANG-GERBANG LOGIKA DASAR Quad Edisi 4 quad@brawijaya.ac.id Lisensi Dokumen Copyright 2007 quad.brawijaya.ac.id PERINGATAN!!! Seluruh Artikel di quad.brawijaya.ac.id dapat digunakan,

Lebih terperinci

REPRESENTASI DATA DATA REPRESENTATION

REPRESENTASI DATA DATA REPRESENTATION ASSALAMU ALAIKUM ARSITEKTUR KOMPUTER REPRESENTASI DATA DATA REPRESENTATION Disajikan Oleh : RAHMAD KURNIAWAN,S.T., M.I.T. TEKNIK INFORMATIKA UIN SUSKA RIAU Analog vs Digital Ada dua cara dasar untuk merepresentasikan

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

Mekatronika Modul 10 Sensor / Transducer

Mekatronika Modul 10 Sensor / Transducer Mekatronika Modul 10 Sensor / Transducer Hasil Pembelajaran : Mahasiswa dapat memahami dan menjelaskan karakteristik dari sensor atau transducer Tujuan Bagian ini memberikan informasi mengenai karakteristik

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA YAYASAN SANDHYKARA PUTRA TELKOM SMK TELKOM SANDHY PUTRA MALANG 28 MODUL III GERBANG LOGIKA & RANGKAIAN KOMBINASIONAL Mata Pelajaran : Teknik Digital Kelas

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Representasi Bilangan dan Operasi Aritmatika Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital

Lebih terperinci

GERBANG LOGIKA. A. Tujuan Praktikum. B. Dasar Teori

GERBANG LOGIKA. A. Tujuan Praktikum. B. Dasar Teori GERBANG LOGIKA Tugas Pra Praktikum 1. Apa yang dimaksud dengan gerbang logika? Jelaskan! 2. Ada berapa jenis gerbang logika dasar? Sebutkan dan jelaskan! 3. Sebutkan macam-macam gerbang logika jika ditinjau

Lebih terperinci

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output

Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt. dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output BAB IV HASIL DAN PEMBAHASAN 4.1 Pengukuran Alat Dalam pengukuran dan perhitungannya logika 1 bernilai 4,59 volt dan logika 0 bernilai 0 volt. Masing-masing logika telah berada pada output pin kaki masing-masing

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal cara kerja dari peraga 7-segmen 2. Mengenal cara kerja rangkaian

Lebih terperinci

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA)

BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) TEKNIK DIGITAL-ALJABAR Boole/HAL. 1 BAB III ALJABAR BOOLE (BOOLEAN ALGEBRA) PRINSIP DASAR ALJABAR BOOLE Aljabar boole adalah suatu teknik matematika yang dipakai untuk menyelesaikan masalah-masalah logika.

Lebih terperinci

Aljabar Boolean. Matematika Diskrit

Aljabar Boolean. Matematika Diskrit Aljabar Boolean Matematika Diskrit Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

Materi 6: Logic Concepts

Materi 6: Logic Concepts Materi 6: Logic Concepts I Nyoman Kusuma Wardana Sistem Komputer STMIK STIKOM Bali Utk memahami sistem kontrol terprogram (programmable controllers) & penerapannya pertama yg hrs dipahami adalah: konsep

Lebih terperinci

Matematika informatika 1 ALJABAR BOOLEAN

Matematika informatika 1 ALJABAR BOOLEAN Matematika informatika 1 ALJABAR BOOLEAN ALJABAR BOOLEAN Matematika yang digunakan untuk menganalisis dan menyederhanakan Gerbang Logika pada Rangkaian-rangkaian Digital Elektronika. Boolean pada dasarnya

Lebih terperinci

MODUL I GERBANG LOGIKA DASAR

MODUL I GERBANG LOGIKA DASAR MODUL I GERBANG LOGIKA DASAR I. PENDAHULUAN Gerbang logika adalah rangkaian dengan satu atau lebih masukan tetapi hanya menghasilkan satu keluaran berupa tegangan tinggi ( 1 ) dan tegangan rendah ( 0 ).

Lebih terperinci

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE V GERNG LOGIK DN LJR OOLE Pendahuluan Gerbang logika atau logic gate merupakan dasar pembentukan system digital. Gerbang ini tidak perlu kita bangun dengan pengkawatan sebab sudah tersedia dalam bentuk

Lebih terperinci

Aljabar Boolean dan Gerbang Logika Dasar

Aljabar Boolean dan Gerbang Logika Dasar Modul 1 : Aljabar Boolean dan Gerbang Logika Dasar 1.1 Tujuan Setelah mengikuti praktek ini mahasiswa diharapkan dapat: 1. Memahami Aksioma dan Teorema Aljabar Boolean. 2. Memahami gerbang logika dasar

Lebih terperinci

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA Sigit Susanto Putro Program Studi Teknik Informatika Fakultas Teknik Universitas Trunojoyo E-mail:

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya

ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya ARSITEKTUR DAN ORGANISASI KOMPUTER Aljabar Boolean, Gerbang Logika, dan Penyederhanaannya Disusun Oleh : Indra Gustiaji Wibowo (233) Kelas B Dosen Hidayatulah Himawan,ST.,M.M.,M.Eng JURUSAN TEKNIK INFORMATIKA

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

ADC dan DAC Rudi Susanto

ADC dan DAC Rudi Susanto ADC dan DAC Rudi Susanto Analog To Digital Converter Sinyal Analog : sinyal kontinyu atau diskontinyu yang didasarkan pada waktu. Sinyal analog dapat dihasilkan oleh alam atau buatan. Contoh sinyal analog

Lebih terperinci

Dasar - Dasar Pemrograman PLC (Bagian 3) Lanjutan dari Bagian 2. B. Example Problem Lighting Control

Dasar - Dasar Pemrograman PLC (Bagian 3) Lanjutan dari Bagian 2. B. Example Problem Lighting Control Dasar - Dasar Pemrograman PLC (Bagian 3) Lanjutan dari Bagian 2 B. Example Problem Lighting Control Akan dibuat suatu sistem lighting control dengan 4 buah switch, SWITCH1, SWITCH2, SWITCH3, SWITCH4. Switch

Lebih terperinci

Definisi Gerbang Logika

Definisi Gerbang Logika SISTEM DIGITAL 1 Pendahuluan Seperti kita ketahui, mesin-mesin digital hanya mampu mengenali dan mengolah data yang berbentuk biner. Dalam sistem biner hanya di ijinkan dua keadaan yang tegas berbeda.

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci