BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

Ukuran: px
Mulai penontonan dengan halaman:

Download "BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA"

Transkripsi

1 BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool Quartus II v6.0, kemudian di download pada Altera UP2 Education Boad atau tepatnya pada chip EPF10K70RC240-4 yang mengandung programmable logic gate. Selanjutnya dilakukan proses verifikasi sirkuit yang merupakan proses pengujian hasil desain perangkat pengendali digital pada UP2 board. IV.1 Implementasi pada FPGA Pada arsitektur FPGA terdapat beberapa blok utama atau sel yaitu Embedded Array Blocks (EAB), Embedded Cells (EC), Logic Array Block (LAB) dan Logic Cell (LC). Perangkat pengendali digital kemudian diimplementasikan pada blok dan sel tersebut. Tabel 4.1 memperlihatkan hasil implementasi perangkat pengendali digital pada chip EPF10K70RC Tabel 4.1 Penggunaan recources pada FPGA untuk implementasi pengendali digital. Nama Resource Total Penggunaan Persentase I/O pins Logic Cells Embedded Cells Embedded Array Block 58/ / /72 0/9 31 % 38 % 0 % 0 % Pada chip EPF10K70RC240-4 terdapat 9 EAB dimana setiap EAB terdiri atas 8 EC, sehingga terdapat 72 EC pada chip EPF10K70RC EAB dan EC tidak digunakan dalam implementasi pengendali digital. Dalam arsitektur chip EPF10K70RC240-4 terdapat 9 baris (A-I) dan 52 kolom yang merepresentasikan LAB, sehingga terdapat 468 LAB pada EPF10K70RC Setiap LAB terdiri atas 8 LC sehingga secara total terdapat LC. Pada implementasi pengendali digital digunakan LC atau 38 % dari total jumlah LC untuk membangun perangkat pengendali digital. 36

2 37 IV.2 Verifikasi pada FPGA Setelah pengendali digital diimplementasikan pada FPGA, langkah selanjutnya adalah menguji perangkat tersebut pada Altera UP2 Education Board. Pada UP2 board terdapat 2 buah chip yaitu EPM7128S dan EPF10K70RC Hasil perancangan perangkat pengendali digital akan di download dan diuji pada chip EPF10K70RC240-4 yang terlihat pada Gambar 4.1 berada di sebelah kanan. Fitur yang disediakan UP2 board untuk FLEX10K antara lain adalah 2 buah Push Button, DIP Switch yang terdiri atas 8 saklar, serta tampilan seven-segment 2 digit. Gambar 4.1 Altera UP2 Education Board. Strategi yang digunakan untuk melakukan verifikasi sirkuit pengendali digital adalah dengan menggunakan fitur yang disediakan oleh UP2 board dan menambahkan modul-modul yang diperlukan dalam proses verifikasi. Fungsi dari masing-masing modul dan fitur yang digunakan yaitu 1. Digital PID Controller, sebagai objek pengujian atau sebagai Unit Under Test (UUT) 2. Test Vector Stimulator, merupakan tes vektor yang berfungsi untuk memberikan pola feedback signal yang akan diujikan untuk keempat bentuk pengendali 3. RAM 32 byte, tempat untuk menyimpan hasil pengujian berupa pola control signal yang merupakan keluaran dari pengendali digital, masing-masing alamat RAM akan menyimpan data control signal untuk setiap periode sampling 4. Pengatur set point, berfungsi untuk mengubah nilai set point dengan rentang nilai dalam kelipatan 10

3 38 5. Pengatur Kp, berfungsi untuk mengubah nilai Kp dengan rentang nilai 0-15,9 dalam kelipatan 0,1 6. Pengatur Ti, berfungsi untuk mengubah nilai Ti dengan rentang nilai 0-10 dalam kelipatan 0,1 7. Pengatur Td, berfungsi untuk mengubah nilai Ti dengan rentang nilai 0-1 dalam kelipatan 0,1 8. Pengatur T, berfungsi untuk memberikan konstanta waktu sampling. Ada 3 waktu sampling yang dapat dipilih yaitu 0,125 s, 0,25 s, dan 0,5 s 9. Clk divider, merupakan pembagi clock. Frekuensi yang disediakan adalah 3,150 MHz, 8 Hz, 4 Hz, dan 2Hz. Pemilihan waktu sampling ditentukan dari nilai keluaran modul Pengatur T 10. Push Button 1 (PB1) dan Push Button 2 (PB2), berfungsi untuk menaikkan dan menurunkan nilai dari set point, Kp, Ti, Td, dan T serta mengatur alamat RAM yang isinya akan ditampilkan pada seven-segment 11. tampilan Seven-Segment, berfungsi untuk menampilkan data pada setiap alamat RAM, serta nilai dari set point, Kp, Ti, Td dan T 12. Switch, yang memiliki 8 saklar yang masing-masing fungsinya adalah : - Switch1, berfungsi untuk mengaktifan pengubahan nilai set point serta menampilkannya pada seven-segment - Switch2, berfungsi untuk mengaktifan pengubahan nilai Kp serta menampilkannya pada seven-segment - Switch3, berfungsi untuk mengaktifan pengubahan nilai Ti serta menampilkannya pada seven-segment - Switch4, berfungsi untuk mengaktifan pengubahan nilai Td serta menampilkannya pada seven-segment - Switch5, berfungsi untuk mengaktifan pengubahan nilai T serta menampilkannya pada seven-segment - Switch6, berfungsi sebagai saklar on-off - Switch7, berfungsi untuk mengaktifan pengubahan tampilan data yang disimpan oleh RAM 32 byte disetiap alamatnya pada seven-segment - Switch8, berfungsi sebagai saklar reset

4 39 Nilai Kp dapat diubah dengan rentang nilai 0-15,9. Berarti penguatan proporsional maksimum yang dapat diberikan sebesar 15,9 atau seluruh skala nilai yang dapat digunakan dengan representasi data 8 bit fixed point. Nilai Ti dan Td diberi batas 0-10 dan 0-1 karena nilai Ti dan Td dipengaruhi oleh waktu sampling. Jika nilai Ti terlalu besar akan menyebabkan penguatan integral menjadi sangat kecil. Sedangkan jika nilai Td terlalu besar akan menyebabkan nilai penguatan derivatif akan menjadi sangat besar pula. Pemberian nilai konstanta dalam kelipatan 0,1 tujuannya adalah agar pengguna dapat melakukan penalaan konstanta secara halus. wr_en wr_addr Test Vector Stimulator feedback signal Digital PID Controller (UUT) control signal RAM 32 byte rd_data PB1 counter rd_addr PB2 Pengatur set point set point Switch Pengatur Kp Kp Pengatur Ti Ti Pengatur Td Td Decoder Pengatur T T Clk Clk divider ClkT Sevensegment Gambar 4.2 Konfigurasi yang digunakan pada proses verifikasi sirkuit. Pada proses pengujian, masukan Clock divider berasal dari sumber frekuensi yang telah disediakan oleh UP2 board yaitu 25,175 MHz. Clock divider menghasilkan frekuensi clock yang baru untuk digunakan pada modul Test Vector Stimulator serta modul Unit Under Test (UUT). Test Vector Stimulator akan memberikan masukan tes vektor demikian juga dengan masukan set point, Kp, Ti, Td dan T yang kemudian diaplikasikan pada masukan UUT. Pengendali digital akan memberikan respon terhadap semua masukan yang ada dan nilainya akan disimpan pada RAM 32 byte. Sinyal keluaran dapat diperiksa pada RAM dengan menggunakan switch, PB1 dan PB2 sehingga hasil pengujian dapat dikomparasi.

5 40 Tabel 4.2 Hasil verifikasi untuk pengendali P. INPUT Memory OUTPUT setpoint fdbksignal T Kp Ti Td Address cntrlsignal C A B E 32 2C C 32 2D A 32 2D A 0A 32 2D B 0A 32 2D C 0A 32 2D D 0A 32 2D E 0A 32 2D F 0A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 32 2D A 0A 32 2D B 0A 32 2D C 0A 32 2D D 0A 32 2D E 0A 32 2D F 0A feedback signal control signal (verifikasi) control signal (referensi) Gambar 4.3 Grafik perbandingan data hasil verifikasi sirkuit untuk pengendali P dengan hasil simulasi pada MATLAB.

6 41 Tabel 4.3 Hasil verifikasi untuk pengendali PI. INPUT Memory OUTPUT setpoint fdbksignal T Kp Ti Td Address cntrlsignal F F AA 32 2D A E F 32 3F B 32 3E A A B C 5A 32 2F D 5F E F C A A A A A A A A A A 6A B 6A C 6A D 6A E 6A F 6A feedback signal control signal (verifikasi) control signal (referensi) Gambar 4.4 Grafik perbandingan data hasil verifikasi sirkuit untuk pengendali PI dengan hasil simulasi pada MATLAB.

7 42 Tabel 4.4 Hasil verifikasi untuk pengendali PD. INPUT Memory OUTPUT setpoint fdbksignal T Kp Ti Td Address cntrlsignal A 32 0F A B 32 1F B A D B F C D FE E FE F FD FB FA FB FB FB FE A B C D E F feedback signal control signal (verifikasi) control signal (referensi) Gambar 4.5 Grafik perbandingan data hasil verifikasi sirkuit untuk pengendali PD dengan hasil simulasi pada MATLAB.

8 43 Tabel 4.5 Hasil verifikasi untuk pengendali PID. INPUT Memory OUTPUT setpoint fdbksignal T Kp Ti Td Address cntrlsignal AF A A A 32 2F A 6C B 6D C 6B D 6A E 6B F 6A A A A A A A A A A A A 6A B 6A C 6A D 6A E 6A F 6A feedback signal control signal (verifikasi) control signal (referensi) Gambar 4.6 Grafik perbandingan data hasil verifikasi sirkuit untuk pengendali PID dengan hasil simulasi pada MATLAB.

9 44 Frekuensi kerja yang digunakan pada pengendali digital dalam proses verifikasi berasal dari Clk divider yaitu sebesar 3,150 MHz atau dengan periode 317,5 ns. Sedangkan frekuensi sampling yang digunakan bergantung pada besarnya konstanta T yang akan digunakan. Frekuensi sampling yang dapat digunakan adalah 8 Hz (T = 0,125 s), 4 Hz (T = 0,25 s), dan 2 Hz (T = 0,5 s). Proses verifikasi sirkuit dilakukan pada pengendali digital untuk setiap bentuk pengendali yaitu pengendali P, pengendali PI, pengendali PD dan pengendali PID. Masing-masing bentuk pengendali dilakukan sebanyak 3 kali pengujian untuk setiap waktu sampling 0,125 s, 0,25 s dan 0,5 s. Sehingga jumlah pengujian yang dilakukan adalah sebanyak 12 kali. Hasil verifikasi secara keseluruhan dicantumkan pada lampiran. Pada setiap hasil pengujian dapat dihitung tingkat kesalahan rata-rata. Tingkat kesalahan digunakan untuk mengetahui tingkat akurasi dari keluaran yang dihasilkan oleh pengendali digital untuk setiap bentuk pengendali yang digunakan. Persamaan yang digunakan adalah sinyal ref.- sinyal verifikasi sinyal ref. Kesalahan rata rata = 100% jumlah sampling Sehingga dari persamaan tersebut dapat ditentukan kesalahan rata-rata sinyal keluaran yang dihasilkan oleh masing-masing bentuk pengendali. Tabel 4.7 memperlihatkan nilai kesalahan rata-rata untuk setiap bentuk pengendali dan waktu sampling yang digunakan. Tabel 4.6 Hasil perhitungan nilai kesalahan rata-rata Bentuk Pengendali Waktu Sampling 0,125 s 0,25 s 0,5 s P 5,26 % 4,11 % 3,95 % PI 2,43 % 2,48 % 1,68 % PD 9,59 % 9,30 % 9,17 % PID 2,70 % 2,05 % 1,89 %

10 45 Hasil perhitungan tersebut memperlihatkan bahwa sinyal keluaran yang dihasilkan oleh pengendali digital sudah cukup baik. Selain itu pula, pola sinyal keluaran yang ditampilkan pada grafik hasil verifikasi, yaitu control signal, memiliki pola yang sama dan posisinya berhimpitan dengan pola sinyal keluaran referensi. Ini memperlihatkan bahwa pengendali digital telah berfungsi dengan baik. Pengaruh waktu sampling dapat dilihat pada grafik dari setiap hasil verifikasi yang telah dilakukan. Sebagai contoh adalah grafik hasil verifikasi pengendali P untuk setiap waktu sampling 0,125 s, 0,25 s dan 0,5 s. Semakin besar waktu sampling yang digunakan mengakibatkan respon sistem cenderung untuk berosilasi.

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA TESIS Karya tulis sebagai salah satu syarat untuk memperoleh gelar Magister dari Institut Teknologi Bandung Oleh DEDI TRIYANTO NIM

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab

Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab Jawaban Ujian Tengah Semester EL3096 Sistem Mikroprosesor & Lab Selasa 18 Oktober 2011; 09:00 WIB ; Dosen: Waskita Adijarto, Pranoto Hidaya Rusmin 1 Sistem Mikroprosesor Diketahui sebuah sistem mikroprosesor

Lebih terperinci

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL Muhammad Wildan Gifari (13211061) Ferry Hermawan (13211062) Asisten: Nirmala Twinta Tanggal Percobaan: 5/12/2012 EL2195-Sistem Digital Laboratorium

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

Implementasi Pengendali PID Digital pada FPGA

Implementasi Pengendali PID Digital pada FPGA Implementasi Pengendali PID Digital pada FPGA Nanang Roni Wibowo 1), Reski Praminasari 2) 1 Teknik Mekatronika, Politeknik Bosowa(penulis 1) email: nanangroni80@gmail.com 2 Teknik Elektro, Politeknik Negeri

Lebih terperinci

MANUAL KENTAC 800mk2

MANUAL KENTAC 800mk2 MANUAL KENTAC 800mk2 Pengantar Sekarang komputer sudah membludak. Orang semakin banyak yang menggunakan komputer, malahan sudah menjadi kebutuhan. Orang yang tidak mau mengenal komputer di era sekarang,

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

COUNTER ASYNCHRONOUS

COUNTER ASYNCHRONOUS COUNTER ASYNCHRONOUS A. Tujuan Kegiatan Praktikum 2 : Setelah mempraktekkan Topik ini, anda diharapkan dapat : ) Merangkai rangkaian ASYNCHRONOUS COUNTER 2) Mengetahui cara kerja rangkaian ASYNCHRONOUS

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT Pada bab ini akan dijelaskan mengenai perancangan dan realisasi dari perangkat keras, serta perangkat lunak dari trainer kendali kecepatan motor DC menggunakan kendali PID dan

Lebih terperinci

PERANCANGAN ATTEMPERATURE REHEAT SPRAY MENGGUNAKAN METODE ZIEGLER NICHOLS BERBASIS MATLAB SIMULINK DI PT. INDONESIA POWER UBP SURALAYA

PERANCANGAN ATTEMPERATURE REHEAT SPRAY MENGGUNAKAN METODE ZIEGLER NICHOLS BERBASIS MATLAB SIMULINK DI PT. INDONESIA POWER UBP SURALAYA TUGAS AKHIR PERANCANGAN ATTEMPERATURE REHEAT SPRAY MENGGUNAKAN METODE ZIEGLER NICHOLS BERBASIS MATLAB SIMULINK DI PT. INDONESIA POWER UBP SURALAYA Diajukan guna melengkapi sebagian syarat dalam mencapai

Lebih terperinci

BAB II DASAR TEORI. Gambar 2.1 Sensor Ultrasonik HCSR04. Gambar 2.2 Cara Kerja Sensor Ultrasonik.

BAB II DASAR TEORI. Gambar 2.1 Sensor Ultrasonik HCSR04. Gambar 2.2 Cara Kerja Sensor Ultrasonik. BAB II DASAR TEORI Pada bab ini akan dibahas beberapa teori pendukung yang digunakan sebagai acuan dalam merealisasikan sistem. Teori-teori yang digunakan dalam pembuatan skripsi ini terdiri dari sensor

Lebih terperinci

Beberapa istilah dalam ADC

Beberapa istilah dalam ADC Analog to Digital Converter (ADC) ADC adalah interface yang digunakan untuk mengambil data dari sensor dan memasukkannya ke dalam komputer atau mikrokontroler. Karena besaran keluaran dari sensor adalah

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

Lembaran Laporan Sementara Praktikum PLC (V2.75)

Lembaran Laporan Sementara Praktikum PLC (V2.75) TE145352 Aplikasi Programmable Logic Controller Lembaran Laporan Sementara Praktikum PLC (V2.75) 1. Jumlah Laporan Sementara ada 8 percobaan dan 20 halaman. Lembar Laporan Sementara ini merupakan lembar

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

SELF-STABILIZING 2-AXIS MENGGUNAKAN ACCELEROMETER ADXL345 BERBASIS MIKROKONTROLER ATmega8

SELF-STABILIZING 2-AXIS MENGGUNAKAN ACCELEROMETER ADXL345 BERBASIS MIKROKONTROLER ATmega8 SELF-STABILIZING 2-AXIS MENGGUNAKAN ACCELEROMETER ADXL345 BERBASIS MIKROKONTROLER ATmega8 I Nyoman Benny Rismawan 1, Cok Gede Indra Partha 2, Yoga Divayana 3 Jurusan Teknik Elektro, Fakultas Teknik Universitas

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II Kunnu Purwanto 1), Agus Bejo 2), Addin Suwastono 3) 1),2),3 ) Departemen Teknik Elektro dan Teknologi Informasi Fakultas Teknik

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA HASIL SIMULASI. III, aspek keseluruhan dimulai dari Bab I hingga Bab III, maka dapat ditarik

BAB IV PENGUJIAN DAN ANALISA HASIL SIMULASI. III, aspek keseluruhan dimulai dari Bab I hingga Bab III, maka dapat ditarik BAB IV PENGUJIAN DAN ANALISA HASIL SIMULASI Pada bab ini akan dibahs mengenai pengujian control reheat desuperheater yang telah dimodelkan pada matlab sebagaimana yang telah dibahas pada bab III, aspek

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51

MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 MIKROKONTROLER Arsitektur Mikrokontroler AT89S51 Ringkasan Pendahuluan Mikrokontroler Mikrokontroler = µp + Memori (RAM & ROM) + I/O Port + Programmable IC Mikrokontroler digunakan sebagai komponen pengendali

Lebih terperinci

PERANCANGAN SISTEM PENGENDALIAN PEMBAKARAN PADA DUCTBURNER WASTE HEAT BOILER (WHB) BERBASIS LOGIC SOLVER

PERANCANGAN SISTEM PENGENDALIAN PEMBAKARAN PADA DUCTBURNER WASTE HEAT BOILER (WHB) BERBASIS LOGIC SOLVER PERANCANGAN SISTEM PENGENDALIAN PEMBAKARAN PADA DUCTBURNER WASTE HEAT BOILER (WHB) BERBASIS LOGIC SOLVER Oleh : AMRI AKBAR WICAKSONO (2406 100 002) Pembimbing: IBU RONNY DWI NORIYATI & BAPAK TOTOK SOEHARTANTO

Lebih terperinci

PENGONTROL PID BERBASIS PENGONTROL MIKRO UNTUK MENGGERAKKAN ROBOT BERODA. Jurusan Teknik Elektro, Fakultas Teknik. Universitas Kristen Maranatha

PENGONTROL PID BERBASIS PENGONTROL MIKRO UNTUK MENGGERAKKAN ROBOT BERODA. Jurusan Teknik Elektro, Fakultas Teknik. Universitas Kristen Maranatha PENGONTROL PID BERBASIS PENGONTROL MIKRO UNTUK MENGGERAKKAN ROBOT BERODA Hendrik Albert Schweidzer Timisela Jl. Babakan Jeruk Gg. Barokah No. 25, 40164, 081322194212 Email: has_timisela@linuxmail.org Jurusan

Lebih terperinci

BAB II TINJAUAN PUSTAKA

BAB II TINJAUAN PUSTAKA BAB II TINJAUAN PUSTAKA 1.1 Penelitian Terdahulu Sebagai bahan pertimbangan dalam penelitian ini akan dicantumkan beberapa hasil penelitian terdahulu : Penelitian yang dilakukan oleh Universitas Islam

Lebih terperinci

Kendali PID Training Kit ELABO TS 3400 Menggunakan Sensor Posisi

Kendali PID Training Kit ELABO TS 3400 Menggunakan Sensor Posisi Kendali PID Training Kit ELABO TS 3400 Menggunakan Sensor Posisi Ana Ningsih 1, Catherina Puspita 2 Program Studi Teknik Mekatronika, Politeknik ATMI Surakarta 1 ana_n@atmi.ac.id, 2 apriliacatarina@yahoo.com

Lebih terperinci

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik Pertemuan ke 5 1 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Pada bab ini akan dibahas tentang proses Rangkaian memori terbatas, dan penentuan kelas yang berbeda Manfaat Memberikan kompetensi untuk

Lebih terperinci

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL

PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL PERCOBAAN IV RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Mengerti perbedaan perilaku antara latch dan flip flop. 2. Mendesain sekuensial rangkaian untuk implementasi didalam FPGA. 3. Mengenal dan memahami cara

Lebih terperinci

INTERFACE LCD DENGAN MENGGUNAKAN FPGA

INTERFACE LCD DENGAN MENGGUNAKAN FPGA INTERFACE LCD DENGAN MENGGUNAKAN FPGA Lukas Tanutama 1 ; Steven 2 ; Dhanny 3 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara Jalan K.H. Syahdan No 9, Palmerah, Jakarta Barat

Lebih terperinci

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA ISSN : 2355-9365 e-proceeding of Engineering : Vol.4, No.2 Agustus 2017 Page 2339 PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR UNIT KONTROL PADA PROSESSOR MULTIMEDIA LAYOUT VLSI DESIGN FOR CONTROL UNIT ARCHITECTURE

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA

UNIVERSITAS BINA NUSANTARA KONTROL POSISI PADA MOTOR DC DENGAN FPGA UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana computer Semester Genap tahun 2005/2006 KONTROL POSISI PADA MOTOR DC DENGAN FPGA Harry 0500589552 Bunny Diredja 0500593392 Wadi 0500582294

Lebih terperinci

UJI PERFORMANSI PADA SISTEM KONTROL LEVEL AIR DENGAN VARIASI BEBAN MENGGUNAKAN KONTROLER PID

UJI PERFORMANSI PADA SISTEM KONTROL LEVEL AIR DENGAN VARIASI BEBAN MENGGUNAKAN KONTROLER PID UJI PERFORMANSI PADA SISTEM KONTROL LEVEL AIR DENGAN VARIASI BEBAN MENGGUNAKAN KONTROLER PID Joko Prasetyo, Purwanto, Rahmadwati. Abstrak Pompa air di dunia industri sudah umum digunakan sebagai aktuator

Lebih terperinci

ORGANISASI SISTEM KOMPUTER & ORGANISASI CPU Oleh: Priyanto

ORGANISASI SISTEM KOMPUTER & ORGANISASI CPU Oleh: Priyanto ORGANISASI SISTEM KOMPUTER & ORGANISASI CPU Oleh: Priyanto Komputer Digital adalah mesin elektronik yang dapat melakukan operasi- operasi aritmatik dan lojik. Komputer digital terdiri dari sistem interkoneksi

Lebih terperinci

ORGANISASI KOMPUTER SISTEM MEMORI MATA KULIAH:

ORGANISASI KOMPUTER SISTEM MEMORI MATA KULIAH: MATA KULIAH: ORGANISASI KOMPUTER SISTEM MEMORI PRODI PENDIDIKAN TEKNIK INFORMATIKA DAN KOMPUTER JURUSAN PENDIDIKAN TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR 2011 1 CREATED BY: AYU ANGGRIANI

Lebih terperinci

BAB III METODE PENELITIAN. Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari

BAB III METODE PENELITIAN. Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari BAB III METODE PENELITIAN 3.1 Waktu dan Tempat Penelitian Penelitian tugas akhir dilaksanakan pada bulan Februari 2014 hingga Januari 2015. Perancangan dan pengerjaan perangkat keras (hardware) dan laporan

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI BAB II LANDASAN TEORI 2.1. Sistem Kendali Lup[1] Sistem kendali dapat dikatakan sebagai hubungan antara komponen yang membentuk sebuah konfigurasi sistem, yang akan menghasilkan

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA

IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA IMPLEMENTASI ALGORITMA KRIPTOGRAFI VERNAM CIPHER BERBASIS FPGA [1] Mohammad Jumeidi, [2] Dedi Triyanto, [3] Yulrio Brianorman [1][2][3] Jurusan Sistem Komputer, Fakultas MIPA Universitas Tanjungpura Jl.

Lebih terperinci

Kontrol PID Pada Miniatur Plant Crane

Kontrol PID Pada Miniatur Plant Crane Konferensi Nasional Sistem & Informatika 2015 STMIK STIKOM Bali, 9 10 Oktober 2015 Kontrol PID Pada Miniatur Plant Crane E. Merry Sartika 1), Hardi Sumali 2) Jurusan Teknik Elektro Universitas Kristen

Lebih terperinci

DT-51 Application Note

DT-51 Application Note DT-51 Application Note AN116 DC Motor Speed Control using PID Oleh: Tim IE, Yosef S. Tobing, dan Welly Purnomo (Institut Teknologi Sepuluh Nopember) Sistem kontrol dengan metode PID (Proportional Integral

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

DAFTAR ISI KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv. DAFTAR GAMBAR... xvi BAB I PENDAHULUAN Kontribusi... 3

DAFTAR ISI KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv. DAFTAR GAMBAR... xvi BAB I PENDAHULUAN Kontribusi... 3 DAFTAR ISI ABSTRAKSI... vii KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... xiv DAFTAR GAMBAR... xvi BAB I PENDAHULUAN... 1 1.1. Latar Belakang Masalah... 1 1.2. Perumusan Masalah... 2 1.3. Pembatasan

Lebih terperinci

DAFTAR ISI. HALAMAN JUDUL... i. LEMBAR PENGESAHAN PEMBIMBING... ii. LEMBAR PENGESAHAN DOSEN PENGUJI... iii. HALAMAN PERSEMBAHAN...

DAFTAR ISI. HALAMAN JUDUL... i. LEMBAR PENGESAHAN PEMBIMBING... ii. LEMBAR PENGESAHAN DOSEN PENGUJI... iii. HALAMAN PERSEMBAHAN... DAFTAR ISI HALAMAN JUDUL... i LEMBAR PENGESAHAN PEMBIMBING... ii LEMBAR PENGESAHAN DOSEN PENGUJI... iii HALAMAN PERSEMBAHAN... iv HALAMAN MOTTO... v KATA PENGANTAR... vii ABSTAKSI... ix DAFTAR ISI... x

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

Sistem Tertanam. Pengantar Atmega328 dan Arduino Uno. Dennis Christie - Universitas Gunadarma

Sistem Tertanam. Pengantar Atmega328 dan Arduino Uno. Dennis Christie - Universitas Gunadarma Sistem Tertanam Pengantar Atmega328 dan Arduino Uno 1 Arsitektur Atmega328 Prosesor atau mikroprosesor adalah suatu perangkat digital berupa Chip atau IC (Integrated Circuit) yang digunakan untuk memproses

Lebih terperinci

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL LAB #4 RANGKAIAN LOGIKA SEKUENSIAL TUJUAN 1. Untuk mempelajari bagaimana dasar rangkaian logika sekuensial bekerja 2. Untuk menguji dan menyelidiki pengoperasian berbagai Latch dan sirkuit Flip- Flop PENDAHULUAN

Lebih terperinci

Identifikasi Self Tuning PID Kontroler Metode Backward Rectangular Pada Motor DC

Identifikasi Self Tuning PID Kontroler Metode Backward Rectangular Pada Motor DC Identifikasi Self Tuning PID Kontroler Metode Backward Rectangular Pada Motor DC Andhyka Vireza, M. Aziz Muslim, Goegoes Dwi N. 1 Abstrak Kontroler PID akan berjalan dengan baik jika mendapatkan tuning

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam

SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam SISTEM KENDALI POSISI MOTOR DC Oleh: Ahmad Riyad Firdaus Politeknik Batam I. Tujuan 1. Mampu melakukan analisis kinerja sistem pengaturan posisi motor arus searah.. Mampu menerangkan pengaruh kecepatan

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR

PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR PERANCANGAN MODUL PRAKTIKUM MIKROPROSESOR DAN SISTEM KONTROL MIKROPROSESOR BERBASIS MIKROKONTROLER ATMEGA8535 PADA LABORATORIUM UIN ALAUDDIN MAKASSAR Yusran Bobihu* *) Dosen Pada Jurusan Sistem Informasi

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

BAB III PERANCANGAN KECERDASAN-BUATAN ROBOT PENCARI JALUR

BAB III PERANCANGAN KECERDASAN-BUATAN ROBOT PENCARI JALUR BAB III PERANCANGAN KECERDASAN-BUATAN ROBOT PENCARI JALUR Kecerdasan-buatan yang dirancang untuk robot pencari jalur ini ditujukan pada lingkungan labirin (maze) dua dimensi seperti ditunjukkan oleh Gambar

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

BAB III PERANCANGAN SISTEM

BAB III PERANCANGAN SISTEM BAB III PERANCANGAN SISTEM Pada bab ini akan dibahas mengenai perancangan dan realisasi dari perangkat keras maupun perangkat lunak dari setiap modul yang dipakai pada skripsi ini. 3.1. Perancangan dan

Lebih terperinci

PERCOBAAN 4 FLIP-FLOP 2

PERCOBAAN 4 FLIP-FLOP 2 PERCOBAAN 4 FLIP-FLOP 2 4.1. TUJUAN : Setelah melaksanakan percobaan ini mahasiswa diharapkan mampu : Menggunakan input-input Asinkron pada JK-FF Membuat D-FF dan T-FF dari JK-FF dan SR-FF Mendisain beberapa

Lebih terperinci

Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013)

Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013) Alat Uji Muatan Roket KOMURINDO Bebasis FPGA (FIELD PROGAMMABLE GATE ARRAY) Bagian Pengujian Fungsional G-force (April, 2013) Denny Satrio N, Ir. M.Julius St., MS., Mochammad Rif an, ST., MT. 1 Abstrak

Lebih terperinci

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN...

DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... DAFTAR LAMPIRAN... DAFTAR ISI HALAMAN PENGESAHAN... ABSTRAKSI... KATA PENGANTAR... DAFTAR ISI... DAFTAR TABEL... DAFTAR GAMBAR... Halaman DAFTAR LAMPIRAN... xviii DAFTAR ISTILAH DAN SINGKATAN... BAB I PENDAHULUAN 1.1 Latar

Lebih terperinci

BAB III DESKRIPSI MASALAH

BAB III DESKRIPSI MASALAH BAB III DESKRIPSI MASALAH 3.1 Perancangan Hardware Perancangan hardware ini meliputi keseluruhan perancangan, artinya dari masukan sampai keluaran dengan menghasilkan energi panas. Dibawah ini adalah diagram

Lebih terperinci

PROGRAMMABLE LOGIC CONTROLLER (PLC)

PROGRAMMABLE LOGIC CONTROLLER (PLC) TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-12 PROGRAMMABLE LOGIC CONTROLLER (PLC) disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Pengertian

Lebih terperinci

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto

TKC210 - Teknik Interface dan Peripheral. Eko Didik Widianto TKC210 - Teknik Interface dan Peripheral Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang: Referensi: mikrokontroler (AT89S51) mikrokontroler (ATMega32A) Sumber daya

Lebih terperinci

ELKAHFI 200 TELEMETRY SYSTEM

ELKAHFI 200 TELEMETRY SYSTEM ELKAHFI 200 TELEMETRY SYSTEM User Manual Edisi September 2006 ELKAHFI Design & Embedded System Solution Daftar Isi Pengenalan Elkahfi Telemetry System Pendahuluan 1 Kelengkapan Telemetry System 2 Spesifikasi

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

BAB 3 METODE PENELITIAN

BAB 3 METODE PENELITIAN BAB 3 METODE PENELITIAN 3.1. Perancangan Sistem 3.1.1. Gambaran Umum Sistem Sistem terdiri dari 2 modul yakni transmitter dan receiver. Modul transmitter berupa remote yang di dalamnya terdapat Arduino

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan

BAB 3 PERANCANGAN SISTEM. pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan BAB 3 PERANCANGAN SISTEM Konsep dasar mengendalikan lampu dan komponen komponen yang digunakan pada sistem pengendali lampu telah dijelaskan pada bab 2. Pada bab ini akan dijelaskan perancangan sistem

Lebih terperinci

IMPLEMENTASI SISTEM KENDALI KECEPATAN MOTOR ARUS SEARAH MENGGUNAKAN KENDALI PID BERBASIS PROGRAMMABLE LOGIC CONTROLER

IMPLEMENTASI SISTEM KENDALI KECEPATAN MOTOR ARUS SEARAH MENGGUNAKAN KENDALI PID BERBASIS PROGRAMMABLE LOGIC CONTROLER IMPLEMENTASI SISTEM KENDALI KECEPATAN MOTOR ARUS SEARAH MENGGUNAKAN KENDALI PID BERBASIS PROGRAMMABLE LOGIC CONTROLER Winarso*, Itmi Hidayat Kurniawan Program Studi Teknik Elektro FakultasTeknik, Universitas

Lebih terperinci

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB III PERANCANGAN DAN PEMBUATAN ALAT BAB III PERANCANGAN DAN PEMBUATAN ALAT 3.1 Pendahuluan Bab ini akan membahas pembuatan seluruh perangkat yang ada pada Tugas Akhir tersebut. Secara garis besar dibagi atas dua bagian perangkat yaitu: 1.

Lebih terperinci

pengendali Konvensional Time invariant P Proportional Kp

pengendali Konvensional Time invariant P Proportional Kp Strategi Dalam Teknik Pengendalian Otomatis Dalam merancang sistem pengendalian ada berbagai macam strategi. Strategi tersebut dikatakan sebagai strategi konvensional, strategi modern dan strategi berbasis

Lebih terperinci

BAB VIII REGISTER DAN COUNTER

BAB VIII REGISTER DAN COUNTER BAB VIII REGISTER DAN COUNTER 8.1 Register Register adalah kumpulan dari elemen-elemen memori yang bekerja bersama sebagai satu unit. Register yang paling sederhana tidak lebih dari sebuah penyimpan kata

Lebih terperinci

BAB III PERENCANAAN DAN REALISASI SISTEM

BAB III PERENCANAAN DAN REALISASI SISTEM 42 BAB III PERENCANAAN DAN REALISASI SISTEM Pada bab ini dijelaskan pembuatan alat yang dibuat dalam proyek tugas akhir dengan judul rancang bangun sistem kontrol suhu dan kelembaban berbasis mirkrokontroler

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

Pengantar Programable Logic Control. Dr. Fatchul Arifin, MT

Pengantar Programable Logic Control. Dr. Fatchul Arifin, MT Pengantar Programable Logic Control Dr. Fatchul Arifin, MT fatchul@uny.ac.id Definisi Secara mendasar PLC adalah suatu peralatan kontrol yang dapat diprogram untuk mengontrol proses atau operasi mesin.

Lebih terperinci

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN

BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN BAB III PERANCANGAN DAN CARA KERJA RANGKAIAN 3.1 Diagram Blok Rangkaian Secara Detail Pada rangkaian yang penulis buat berdasarkan cara kerja rangkaian secara keseluruhan penulis membagi rangkaian menjadi

Lebih terperinci

JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER

JURUSAN TEKNIK FISIKA FAKULTAS TEKNOLOGI INDUSTRI INSTITUT TEKNOLOGI SEPULUH NOPEMBER Rancang Bangun Kontrol Logika Fuzzy-PID Pada Plant Pengendalian ph (Studi Kasus : Asam Lemah dan Basa Kuat) Oleh : Fista Rachma Danianta 24 08 100 068 Dosen Pembimbing Hendra Cordova ST, MT. JURUSAN TEKNIK

Lebih terperinci

Grafik hubungan antara Jarak (cm) terhadap Data pengukuran (cm) y = 0.950x Data pengukuran (cm) Gambar 9 Grafik fungsi persamaan gradien

Grafik hubungan antara Jarak (cm) terhadap Data pengukuran (cm) y = 0.950x Data pengukuran (cm) Gambar 9 Grafik fungsi persamaan gradien dapat bekerja tetapi tidak sempurna. Oleh karena itu, agar USART bekerja dengan baik dan sempurna, maka error harus diperkecil sekaligus dihilangkan. Cara menghilangkan error tersebut digunakan frekuensi

Lebih terperinci

BAB III TEORI PENUNJANG. Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di

BAB III TEORI PENUNJANG. Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di BAB III TEORI PENUNJANG 3.1. Microcontroller ATmega8 Microcontroller adalah sebuah sistem fungsional dalam sebuah chip. Di dalamnya terkandung sebuah inti proccesor, memori (sejumlah kecil RAM, memori

Lebih terperinci

Materi 4: Microprocessor-Based Control

Materi 4: Microprocessor-Based Control Materi 4: Microprocessor-Based Control I Nyoman Kusuma Wardana Sistem Komputer STMIK STIKOM Bali Mikroprosesor mengantarkan ke suatu era baru dlm sistem kontrol Mikroprosesor menawarkan fleksibilitas

Lebih terperinci

Arsitektur Dasar Mikroprosesor. Mikroprosesor 80186/80188

Arsitektur Dasar Mikroprosesor. Mikroprosesor 80186/80188 Arsitektur Dasar Mikroprosesor Mikroprosesor 80186/80188 Arsitektur 1. Lebar data bus diantaranya sebagai berikut : a. Mikroprosesor 80186 mempunyai bus data 16 bit b. Mikroprosesor 80188 mempunyai bus

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA DATA

BAB IV PENGUJIAN DAN ANALISA DATA BAB IV PENGUJIAN AN ANALISA ATA Pada bab ini akan dibahas tentang pengujian dan pengoperasian Sistem Pendeteksi Kebocoran Gas pada Rumah Berbasis Layanan Pesan Singkat yang telah selesai dirancang. Pengujian

Lebih terperinci

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1

2. STUDI PUSTAKA. Laporan Praktikum - Laboratorium Dasar Teknik Elektro STEI ITB 1 MODUL 6 Proyek Perancangan Rangkaian Digital Muhammad Surya Nigraha (13211055) Hadi Prastya Utama (13211056) Asisten: Nirmala Twinta V (13209031) Tanggal Percobaan: 5/12/2012 EL2195-Praktikum Sistem Digital

Lebih terperinci

TUNING KONTROL PID LINE FOLLOWER. Dari blok diagram diatas dapat q jelasin sebagai berikut

TUNING KONTROL PID LINE FOLLOWER. Dari blok diagram diatas dapat q jelasin sebagai berikut TUNING KONTROL PID LINE FOLLOWER Tunning kontrol PID ini bertujuan untuk menentukan paramater aksi kontrol Proportional, Integratif, Derivatif pada robot line follower. Proses ini dapat dilakukan dengan

Lebih terperinci

Kendali Perancangan Kontroler PID dengan Metode Root Locus Mencari PD Kontroler Mencari PI dan PID kontroler...

Kendali Perancangan Kontroler PID dengan Metode Root Locus Mencari PD Kontroler Mencari PI dan PID kontroler... DAFTAR ISI LEMBAR PENGESAHAN DOSEN PEMBIMBING... i LEMBAR PENGESAHAN DOSEN PENGUJI... ii HALAMAN PERSEMBAHAN... iii HALAMAN MOTTO... iv KATA PENGANTAR... v ABSTRAK... vii DAFTAR ISI... ix DAFTAR TABEL...

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

BAB III PERENCANAAN SISTEM

BAB III PERENCANAAN SISTEM BAB III PERECAAA SISTEM Perencanaan system control dan monitoring rumah ini untuk memudahkan mengetahui kondisi lingkungan rumah pada titik - titik tertentu serta dapat melakukan pengendalian. Dimulai

Lebih terperinci

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA MODUL VI PROYEK PERANCANGAN RANGKAIAN DIGITAL Primawan Dwi Nugroho (13211019) Dyah Rahmawati (13511012) Asisten: Nirmala Twinta Tanggal Percobaan: 04/12/2012 EL2195-Praktikum Sistem Digital Laboratorium

Lebih terperinci

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch MODUL 3 Stopwatch I. Pendahuluan Pada praktikum ini, anda akan mempelajari cara mengembangkan sebuah sistem pada IC FPGA Spartan-II buatan menggunakan software ISE WebPack. Sistim yang dibuat adalah sebuah

Lebih terperinci

II Protokol Remote Link II Protokol Modbus II Request Read N Bits. 16 II Request Read N Words. 16 II

II Protokol Remote Link II Protokol Modbus II Request Read N Bits. 16 II Request Read N Words. 16 II ABSTRAK Perkembangan dalam bidang industri dewasa ini semakin maju. Sebagian besar bidang industri telah menggunakan teknologi otomasi industri, pengendalian mesin-mesin industri telah dilakukan dengan

Lebih terperinci

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16 DAFTARISI FIALAMAN JUDUL i LEMBAR PENGESAHAN DOSEN PEMBIMBING h" LEMBAR PENGESAHAN DOSENPENGUJI jij HALAMANPERSEMBAHAN jv HALAMANMOTTO v KATA PENGANTAR V1 ABSTRAKSI viii DAFTARISI ix DAFTARGAMBAR xii DAFTARTABEL

Lebih terperinci

Diktat Kuliah Organisasi dan Interaksi

Diktat Kuliah Organisasi dan Interaksi Mikroprosesor dan Antarmuka Diktat Kuliah Organisasi dan Interaksi Nyoman Bogi Aditya Karna Sisfo IMelkom bogi@imtelkom.ac.id http://bogi.blog.imtelkom.ac.id Institut Manajemen elkom http://www.imtelkom.ac.id

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

PENERAPAN FUZZY LOGIC CONTROLLER UNTUK MEMPERTAHANKAN KESETABILAN SISTEM AKIBAT PERUBAHAN DEADTIME PADA SISTEM KONTROL PROSES DENGAN DEADTIME

PENERAPAN FUZZY LOGIC CONTROLLER UNTUK MEMPERTAHANKAN KESETABILAN SISTEM AKIBAT PERUBAHAN DEADTIME PADA SISTEM KONTROL PROSES DENGAN DEADTIME PENERAPAN FUZZY LOGIC CONTROLLER UNTUK MEMPERTAHANKAN KESETABILAN SISTEM AKIBAT PERUBAHAN DEADTIME PADA SISTEM KONTROL PROSES DENGAN DEADTIME Mukhtar Hanafi Program Studi Teknik Informatika Fakultas Teknik

Lebih terperinci

BAB II DASAR TEORI. kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral dan aksi

BAB II DASAR TEORI. kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral dan aksi BAB II DASAR TEORI 2.1 Proporsional Integral Derivative (PID) Didalam suatu sistem kontrol kita mengenal adanya beberapa macam aksi kontrol, diantaranya yaitu aksi kontrol proporsional, aksi kontrol integral

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci