FPGA DAN VHDL. Teori, Antarmuka dan Aplikasi

Ukuran: px
Mulai penontonan dengan halaman:

Download "FPGA DAN VHDL. Teori, Antarmuka dan Aplikasi"

Transkripsi

1

2 FPGA DAN VHDL Teori, Antarmuka dan Aplikasi

3 UU No 19 Tahun 2002 Tentang Hak Cipta Fungsi dan Sifat hak Cipta Pasal 2 1. Hak Cipta merupakan hak eksklusif bagi pencipta atau pemegang Hak Cipta untuk mengumumkan atau memperbanyak ciptaannya, yang timbul secara otomatis setelah suatu ciptaan dilahirkan tanpa mengurangi pembatasan menurut peraturan perundang-undangan yang berlaku. Hak Terkait Pasal Pelaku memiliki hak eksklusif untuk memberikan izin atau melarang pihak lain yang tanpa persetujuannya membuat, memperbanyak, atau menyiarkan rekaman suara dan/atau gambar pertunjukannya. Sanksi Pelanggaran Pasal Barangsiapa dengan sengaja dan tanpa hak melakukan perbuatan sebagaimana dimaksud dalam pasal 2 ayat (1) atau pasal 49 ayat (2) dipidana dengan pidana penjara masing-masing paling singkat 1 (satu) bulan dan/atau denda paling sedikit Rp ,00 (satu juta rupiah), atau pidana penjara paling lama 7 (tujuh) tahun dan/atau denda paling banyak Rp ,00 (lima miliar rupiah). 2. Barangsiapa dengan sengaja menyiarkan, memamerkan, mengedarkan, atau menjual kepada umum suatu ciptaan atau barang hasil pelanggaran Hak Cipta sebagaimana dimaksud dalam ayat (1), dipidana dengan pidana penjara paling lama 5 (lima) tahun dan/atau denda paling banyak Rp ,00 (lima ratus juta rupiah) ii

4 FPGA DAN VHDL Teori, Antarmuka dan Aplikasi Ferry Wahyu Wibowo iii

5 Jl. Elang 3, No 3, Drono, Sardonoharjo, Ngaglik, Sleman Jl.Kaliurang Km.9,3 Yogyakarta Telp/Faks: (0274) Hotline: Website: Katalog Dalam Terbitan (KDT) WIBOWO, Ferry W FPGA DAN VHDL: Teori, Antarmuka dan Aplikasi/ oleh Ferry Wahyu Wibowo.--Ed.1, Cet. 1--Yogyakarta: Deepublish, Januari xxii, 414 hlm.; 25 cm ISBN 978-Nomor ISBN 1. Program I. Judul Desain cover : Herlambang Rahmadhani Penata letak : Ika Fatria Iriyanti PENERBIT DEEPUBLISH (Grup Penerbitan CV BUDI UTAMA) Anggota IKAPI (076/DIY/2012) Isi diluar tanggungjawab percetakan Hak cipta dilindungi undang-undang Dilarang keras menerjemahkan, memfotokopi, atau memperbanyak sebagian atau seluruh isi buku ini tanpa izin tertulis dari Penerbit. iv

6 KATA PENGANTAR Buku ini hadir bertujuan untuk memperkenalkan field programmable gate array (FPGA) dan very high speed integrated circuit hardware description language (VHDL) sebagai salah satu bidang hardware dan software, sekaligus untuk menunjukkan penggunaan VHDL dalam suatu aplikasi perancangan sistem elektronik. Buku ini banyak mengambil dari prosiding dan jurnal yang telah dipublikasikan oleh penulis dan terdiri dari beberapa contoh implementasi FPGA yang memungkinkan untuk digunakan sebagai buku teks berbagai level dan sebagai buku referensi. Walaupun demikian, perkembangan tool, metodologi pengembangan, dan metodologi pengujian dalam rancang bangun perangkat keras berkembang sangat cepat dan perlu diikuti. Sintaks VHDL mirip dengan bahasa pemrograman seperti C dan Pascal, tetapi sifatnya berbeda. Bahasa C dan Pascal diadaptasi untuk central processing unit (CPU), yaitu mesin serial yang menampilkan satu instruksi pada suatu waktu, sedangkan VHDL diadaptasi untuk struktur paralel dalam perangkat keras. Pada dasarnya, kinerjanya selalu lebih baik menggunakan VHDL daripada menggunakan bahasa pemrograman konvensional untuk CPU. Hal ini berarti VHDL akan jauh lebih baik digunakan sebagai bahasa implementasi dalam bentuk bahasa software. Bahkan, penggunaan mikrokontroler dapat dirancang menggunakan VHDL daripada menggunakan CPU dan kode mesin. v

7 Simulator telah dikembangkan lebih jauh di pasaran agar dapat mengeksekusi kode VHDL sebagai watak pengujian perancangan. Kode VHDL dapat dikirimkan ke Field Programmable Gate Array (FPGA) atau gate matrix. Transformasi dari kode ke teknologi perangkat keras disebut sintesis. Tool sintesis secara fungsional harus membuat perangkat keras yang mempunyai watak sesuai dengan simulasi kode VHDL. Kesulitan penulisan kode VHDL untuk sintesis memungkinkan menggunakan tool sintesis yang berbeda dalam mengubah bentuk bagian yang berbeda dari bahasa VHDL. Beberapa perancang perangkat keras mendeskripsikan watak (behavior) dalam VHDL dibandingkan merancang menggunakan gerbang-gerbang logik yang memerlukan pengaturan komponen dan wiring untuk membuatnya. Pengaturan ini harus ada untuk produktivitas dan kualitas desain yang hendak dicapai dengan mengimplementasikan teori sistem digital. Berbeda pendeskripsian perancangan menggunakan bahasa tingkat tinggi berbasis teknologi lepas, dalam hal ini VHDL, perancang dapat berkonsentrasi pada pembuatan desain secara fungsional. VHDL menyediakan lebih banyak efisiensi desain dan berdayaguna daripada menggunakan desain tingkat gerbang. Penggunaan VHDL dalam kaitan tool sintesis menunjukkan bahwa teknologi dapat diubah secara cepat dan mudah. Fasilitas tersebut dapat dieksploitasi lebih lanjut yang dikenal sebagai purwarupa dari ASIC (Aplication Spesific Integrated Circuit) yang menggunakan FPGA. Metode, struktur yang baik, pengujian dan perancangan teknologi lepas membutuhkan pengetahuan VHDL yang baik dan tepat. Keuntungan vi

8 pendeskripsian rancangan dalam bahasa tingkat tinggi dan metode pengujian mempunyai porsi dalam buku ini. Semoga buku ini bermanfaat bagi siapapun yang membacanya, khususnya yang akan memulai bidang reconfigurable computing. Buku ini masih kurang dari sempurna dan masih memerlukan pengembangan lagi, saran dan kritik senantiasa penulis terima dengan tangan terbuka. Terima kasih. Yogyakarta, Januari 2014 Ferry Wahyu Wibowo vii

9 SINGKATAN-SINGKATAN AC : Alternating current ADI : Automated Design Implementation AFG : Attenuator frequency generator ALU : Arithmetic logic unit ASCII : American Standard Code for Information Interchange ASIC : Application specific integrated circuit ASM : Algorithmic state machine ASSP : Application specific standard product AVT : Architecture verification test BCD : Binary coded decimal BER : Bit error rate BIST : Built in self test BJT : Bipolar junction transistor CAD : Computer aided design CAE : Computer added engineering CDMA : Coded division multiple access CLB : Configurable logic block CMOS : Complementary metal oxide semiconductor CPC : Convolutional product code CPLD : Complex programmable logic device CPU : Central processing unit DC : Direct current DFT : Design for test DRAM : Dynamic random access memory DSP : Digital signal processing DUT : Design under test EBCDIC : Extended binary codec decimal interchange code ECC : Error correction code EDA : Electronic design automation EEPROM : Electrically erasable and programmable read only memory EGCS : Elevator group control system EPROM : Erasable and programmable read only memory FAMOS : Floating gate ovalanche metal oxide semiconductor viii

10 FF : Flip-flop FFT : Fast fourier transform FPGA : Field programmable gate array FPLA : Field programmable logic array FSM : Finite state machine GAL : Generic array logic GCLK : Global clock GPI : General purpose interconect GUI : Graphical user interface HDL : Hardware description language HL : High level I/O : Input / output IC : Integrated circuit IEEE : Institute of Electrical and Electronic Engineers IOB : Input output block IP : Intellectual property IVT : Implementation verification test JEDEC : Joint electron device engineering council JTAG : Joint test action group LCA : Logic cell array LCD : Liquid crystal display LCS : Local control system LE : Logic element LED : Light emitting diode LSB : Least significant bit LSI : Large scale integration LUT : Look up table MCM : Multiple chip module MGT : Multi Gigabit Transceiver MOS : Metal oxide semiconductor MOSFET : Metal oxide semiconductor field effect transistor MSB : Most significant bit MSI : Medium scale integration MUX : Multiplekser Opcode : Operation code PACE : Pinout and area constraints editor PAL : Programmable logic array PALASM : PAL assembler and simulator ix

11 PC : Personal computer PCB : Printed circuit board PIP : Programmable interconnect point PLA : Programmable logic array PLC : Programmable logic control PLD : Programmable logic device PMUX : Programmable Multiplexer PROM : Programmable read only memory R/C : Resistance / capacitive R&D : Research and Development RAM : Random access memory RCA : Radio corporation of America ROM : Read only memory RTL : Register transistor logic (level) SDF : Standard delay format SerDes : Serializer / deserializer SNR : Signal to noise ratio SoC : System on Chip SOP : Sum of product SPI : Serial peripheral interface SRAM : Static random access memory SSI : Small scale integration TTL : Transistor transistor logic VHDL : Very high speed integrated circuit hardware description language VHSIC : Very high speed integrated circuit VLSI : Very large scale integration VTP : Verification test plan U-IOB : Unbonded input output block UV : Ultra violet Wi-MAX : Worldwide interoperability for microwave access x

12 DAFTAR ISI KATA PENGANTAR... v SINGKATAN-SINGKATAN... viii DAFTAR ISI... xi DAFTAR GAMBAR... xix BAB I Prinsip prinsip Sistem Digital Pendahuluan Perbandingan Elektronika Analog dan Digital Level logika digital Sistem Bilangan Desimal Notasi Posisional Sistem Bilangan Biner Notasi Posisional Sistem Bilangan Oktal Notasi Posisional Sistem Bilangan Heksadesimal Notasi Posisional Komplemen bilangan Komplemen-R Komplemen-(R-1) Sandi Biner Sandi Binary Coded Decimal (BCD) Sandi Excess 3 (XS-3) Sandi 8, 4, -2, Sandi Gray Sandi Alfanumerik Bit Paritas Logika Gerbang Operator Logika Dasar Tabel kebenaran untuk operator logika Gerbang Logika xi

13 Rangkaian logika kombinasional dari fungsi logika Referensi Pertanyaan BAB II Programmable Logic Device Pendahuluan Metode Pemrograman Perangkat Keras Teknologi Fusible Link Teknologi Antifuse Piranti Mask-Programmed PROM Teknologi berbasis EPROM Teknologi berbasis EEPROM Teknologi berbasis RAM DRAM dan SRAM PLD, ASIC dan FPGA Programmable Logic Device (PLD) PROM Programmable Logic Array (PLA) Programmable Array Logic (PAL) Complex Programmable Logic Device (CPLD) Application Spesific Integrated Circuit (ASIC) Field Programmable Gate Array (FPGA) Referensi Pertanyaan BAB III Field Programmable Gate Array Pendahuluan Reconfigurable Device Blok I/O Dasar xii

14 3.4. Configurable Logic Block (CLB) Blok Interkoneksi yang Dapat Diprogram Optimasi Perancangan untuk FPGA Aliran Perancangan FPGA Xilinx Referensi Pertanyaan BAB IV Model Masukan Rancangan Pendahuluan Metode Masukan Rancangan Referensi Pertanyaan BAB V Metodologi Top-Down vs Bottom-Up Pendahuluan Metodologi Perancangan terhadap EDA Implementasi Metodologi Perancangan Referensi Pertanyaan BAB VI Metode Perancangan Pendahuluan Perancangan IC Metodologi Perancangan Pewaktuan Floorplanning dan Placement Floorplan Tingkat Tinggi Floorplanning Terperinci Sintesis Kendali Pewaktuan Model Penyambungan Placement and Route Synthesis Back-Annotation Verifikasi Pewaktuan Referensi Pertanyaan xiii

15 BAB VII Verifikasi Rancangan Pendahuluan Verifikasi Perancangan Pembuktian Implementasi Jenis Verifikasi Uji Metode Verifikasi Uji Konfigurasi Pengujian Struktur Testbench Prosedur Verifikasi Pengaturan Referensi Pertanyaan BAB VIII VHDL Pendahuluan VHDL Tahap Perancangan Struktur Kode VHDL Entity Architecture Referensi Pertanyaan BAB IX Mode Penyambungan Pendahuluan Signal Variable Perbandingan Signal dan Variable Generic Constant Referensi Pertanyaan BAB X Tipe Data, Obyek dan Konversi Pendahuluan Tipe Data yang Belum Terdefinisi Tipe Data yang Dapat Didefinisikan Pengguna Subtype xiv

16 10.5. Larik (Array) Larik dalam Port Record Konversi Data Referensi Pertanyaan BAB XI Operator dan Atribut Operator Operator Keluaran Operator Logika Operator Aritmatika Operator Pembanding Operator Geser Operator Overloading Penggunaan Operator Atribut Data Atribut Signal Enumerasi Atribut Signal Atribut yang Didefinisikan Pengguna Referensi Pertanyaan BAB XII Konkuren dan Sekuensial Kode Konkuren Logik Kombinasional Versus Sekuensial Kode konkuren Versus Sekuensial Deklarasi When Generate Block Simple Block Guarded Block Kode Sekuensial Process If Wait xv

17 Case Case Versus if Case Versus When Referensi Pertanyaan BAB XIII ROM dan RAM Pendahuluan Read-Only Memory (ROM) Perancangan ROM Random Access Memory (RAM) Referensi Pertanyaan BAB XIV Finite State Machine Pendahuluan Finite State Machine Prinsip Kerja Finite State Machine Referensi Pertanyaan BAB XV Implementasi FPGA untuk Sorting Pendahuluan Rekonfigurasi Field Programmable Gate Array (FPGA) Arsitektur FPGA Proses Implementasi FPGA Optimasi Logik Pemetaan Teknologi Penempatan Piranti Lunak Penjalaran Bagian Pemrograman VHDL Bahasa Pendukung FPGA Mergesort Rancangan Global Rangkaian Shift-Register Multiplekser xvi

18 Rangkaian Pembanding (Comparator) Rangkaian Keluaran Mergesort Berbasis FPGA Referensi BAB XVI Implementasi FPGA untuk Arithmetic Logic Unit Pendahuluan Arithmetic Logic Unit (ALU) Unit Logik Unit Aritmetik Unit Shifter Unit Multiplekser Implementasi FPGA Referensi Pertanyaan BAB XVII Implementasi FPGA untuk Convolutional Encoder Pendahuluan Convolutional Code Field Programmable Gate Array (FPGA) Implementasi Convolutional Encoder dalam FPGA Referensi Pertanyaan BAB XVIII Interoperabilitas VHDL antara FPGA Xilinx dan Altera Pendahuluan Selayang Pandang VHDL Xilinx ISE Altera Max+Plus II Interoperabilitas Xilinx ISE dan Max + Plus II Referensi Pertanyaan xvii

19 BAB XIX Antarmuka pada FPGA Xilinx Spartan-3E Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital Converter (ADC) Pemrosesan Sinyal Digital Digital-to-Analog Converter (DAC) Referensi Pertanyaan BAB XX Implementasi FPGA untuk Pengukuran Daya Listrik Pendahuluan FPGA Spartan-3E Starter Kit Teori Daya Listrik Metodologi Spesifikasi Verifikasi Implementasi Debug dan Pengujian Hasil Referensi Pertanyaan DAFTAR PUSTAKA LAMPIRAN GLOSARIUM INDEKS xviii

20 DAFTAR GAMBAR Gambar 1.1 Perbandingan Analog dan Digital Secara Grafik... 4 Gambar 1.2 Gerbang Logika Gambar 1.3 Gerbang Kombinasional Gambar 2.1 Metode Pemrograman Piranti Gambar 2.2 Prinsip Teknologi Fusible Link Gambar 2.3 Teknologi Antifuse Gambar 2.4 Prinsip Teknologi Antifuse Gambar 2.5 Prinsip Teknologi Prom Gambar 2.6 Teknologi Berbasis MOS Vs EPROM Gambar 2.7 Prinsip Teknologi EPROM Gambar 2.8 Teknologi EEPROM Gambar 2.9 DRAM Gambar 2.10 Konfigurasi SRAM Gambar 2.11 Blok SRAM 2 MXN Gambar 2.12 Pemrograman FPGA pada SRAM Gambar 2.13 Konfigurasi SRAM pada FPGA Gambar 2.14 Pembagian Jenis-jenis PLD Gambar 2.15 Blok Diagram PLD Gambar 2.16 PROM Gambar 2.17 Contoh Implementasi PROM pada Full Adder Gambar 2.18 PLA Gambar 2.19 PAL Gambar 2.20 Struktur CPLD Gambar 2.21 Blok PAL yang terdapat pada CPLD Gambar 2.22 Gate Array Gambar 2.23 Arsitektur FPGA Gambar 3.1 Skematik FPGA Gambar 3.2 Arsitektur Virtex-Ii xix

21 Gambar 3.3 Grafik Throughput vs Kinerja Aritmetik dari Jenis Virtex Gambar 3.4 Struktur Blok I/O Dasar Gambar 3.5 CLB XC Gambar 3.6 Interkoneksi yang dapat Diprogram Gambar 3.7 Contoh Optimisasi Low Level Logic: 8-To- 1 MUX Gambar 4.1 Tingkat Deskripsi Perancangan Gambar 4.2 Alur Computer Aided Design (CAD) Gambar 5.1 Trend Kapasitas Teknologi FPGA Xilinx Gambar 5.2 Alur Perancangan Sederhana Gambar 6.1 Hubungan Antara Kerapatan IC Dan Prosentase Placement & Routing Gambar 6.2 Floorplan Tingkat Tinggi Gambar 6.3 Floorplan dari Chip FPGA Gambar 6.4 Pengecekan Pewaktuan Gambar 6.5 Placement and Routing Gambar 7.1 Struktur Testbench Gambar 8.1 Relasi Perancangan antara Kode VHDL Dan FPGA Gambar 8.2 Bagian Pokok Kode VHDL Gambar 8.3 Bagian Pokok Library Gambar 8.4 Mode Sinyal Gambar 9.1 Penyambungan Menggunakan Signal Gambar 9.2 Perpaduan dan Pemisahan Sambungan Gambar 13.1 Arsitektur ROM Gambar 13.2 Diagram Bentuk Gelombang Desain ROM Gambar 14.1 Struktur Finite State Machine Gambar State Diagram Pengendali Elevator Gambar 14.3 State Diagram FSM untuk Lampu Lalu Lintas Gambar 14.4 Register Transfer Logic dari Gambar Gambar 14.5 Diagram Bentuk Gelombang Dari Gambar Gambar 15.1 Arsitektur FPGA xx

22 Gambar 15.2 Pemrograman FPGA Melalui PC Gambar 15.3 Ringkasan Aliran VHDL Gambar 15.4 Ilustrasi Mergesort Gambar 15.5 Rancangan Modul Mergesort Gambar 15.6 Bagan Shift-Register Gambar 15.7 Bagan Multiplekser Gambar 15.8 Bagan Rangkaian Pembanding Gambar 15.9 Bagan Rangkaian Keluaran Gambar Diagram Bentuk Gelombang Dari Mergesort 4x8-Bit Gambar Floorplan dari Rangkaian Mergesort Gambar 16.1 Bagan Desain ALU Gambar 16.2 Bagan RTL Rancangan ALU Gambar 17.1 Bagan Convolutional Encoder Gambar 17.2 Floorplan dari Convolutional Encoder Gambar 17.3 Diagram Bentuk Gelombang dari Convolutional Encoder Gambar 18.1 Alur Compiler VHDL Gambar 19.1 Bagan Sistem Perancangan Gambar 19.2 Dua Saluran Analog Capture Circuit Gambar 19.3 Skematik Amplifier dan ADC dari Linear Technology Gambar 19.4 Contoh Pengolahan Sinyal Analog pada ADC Gambar 19.5 Range Nilai Sinyal Terbaca pada ADC Gambar 19.6 Blok FPGA untuk Mengendalikan Amplifier dan ADC Gambar 19.7 Sinyal Digital yang Digunakan untuk Mengatur Gain Gambar 19.8 Pewaktuan SPI ketika Berkomunikasi dengan Amplifier Gambar 19.9 Antarmuka ADC Gambar Pewaktuan SPI ke ADC Gambar Top Level dari DSP xxi

23 Gambar Rangkaian Turunan dari Top Level Pengolah Sinyal Gambar Digital-To-Analog Converter dan Header yang Digunakan Gambar Skematik Digital-To-Analog Gambar Protokol Komunikasi SPI ke LTC2624 DAC Gambar Top Level Rangkaian Pengendali DAC Gambar 20.1 Fpga Xilinx Spartan-3E Starter Kit Board Gambar 20.2 Bagan Pengukuran Daya Listrik Berbasis FPGA Gambar Rangkaian Pemrosesan Sinyal Digital Untuk Daya Sesaat dan Daya Aktif Gambar 20.4 Sinyal Sck (Atas) Dan Mosi (Bawah) Gambar 20.5 Floorplan Desain pada FPGA Spartan-3E xxii

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti Pengenalan & Konsep Dasar FPGA Veronica Ernita Kristianti Apa itu FPGA? FPGA adalah suatu IC program logic dengan arsitektur seperti susunan matrik sel-sel logika yang dibuat saling berhubungan satu sama

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB I PENDAHULUAN 1.1 LATAR BELAKANG BAB I PENDAHULUAN 1.1 LATAR BELAKANG Perkembangan teknologi dijital telah menunjukkan pengaruh yang luar biasa bagi kehidupan manusia. Dimulai sejak kurang lebih era tahun 60-an dimana suatu rangkaian

Lebih terperinci

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

ARSITEKTUR FPGA. Veronica Ernita K.

ARSITEKTUR FPGA. Veronica Ernita K. ARSITEKTUR FPGA Veronica Ernita K. Arsitektur Dasar FPGA Antifuse. Fine, Medium, dan Coarse-grained. MUX dan LUT Logic Block. CLB, LAB dan Slices. Fast Carry Chains. Embedded in FPGA. Processor Cores.

Lebih terperinci

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array BAB 1 Pendahuluan 1.1 Latar Belakang Perkembangan dunia dalam segala aspek kehidupan makin hari semakin cepat apalagi belakangan ini sangat pesat sekali perkembangnya, terutama perkembangan pada dunia

Lebih terperinci

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Teknologi Implementasi dan Metodologi Desain Sistem Digital Metodologi Desain TSK505 - Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang teknologi implementasi sistem digital di IC keluarga 7400, PLD (PLA,

Lebih terperinci

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 1 Prinsip-Prinsip Sistem Digital Ferry Wahyu Wibowo Outlines Sistem digital Persamaan dan perbedaan elektronika analog dan elektronika digital Sistem

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 1 Sistem Bilangan Yusron Sugiarto Materi Kuliah Analog dan Digital? Elektronika Analog Digital Analog vs Digital Analog Teknologi: Teknologi analog merekam

Lebih terperinci

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur,

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Percepatan Menggunakan Perangkat Keras

Percepatan Menggunakan Perangkat Keras Percepatan Menggunakan Perangkat Keras Pokok Bahasan: FPGA, ASIC, CPLD Tujuan Belajar: Setelah mempelajari dalam bab ini, mahasiswa diharapkan mampu : 1. Mengetahui dan menjelaskan perkembangan penggunaan

Lebih terperinci

Mudah Membuat Referensi & Bibliografi

Mudah Membuat Referensi & Bibliografi Mudah Membuat Referensi & Bibliografi UU No 19 Tahun 2002 Tentang Hak Cipta Fungsi dan Sifat hak Cipta Pasal 2 1. Hak Cipta merupakan hak eksklusif bagi pencipta atau pemegang Hak Cipta untuk mengumumkan

Lebih terperinci

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika TAKARIR AC (Alternating Current) Adalah sistem arus listrik. Sistem AC adalah cara bekerjanya arus bolakbalik. Dimana arus yang berskala dengan harga rata-rata selama satu periode atau satu masa kerjanya

Lebih terperinci

PLA & PLD Programmable Logic Array Programmable Logic Device

PLA & PLD Programmable Logic Array Programmable Logic Device PLA & PLD Programmable Logic Array Programmable Logic Device Missa Lamsani Hal 1 Macam-macam Penyusunan Rangkaian Digital IC digital diskret Programmable logic SPLD CPLD FPGA ASIC Missa Lamsani Hal 2 Sejarah

Lebih terperinci

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA Dwi Herlambang; Dicki Hugo Joputra; Rudy Susanto Computer Engineering Department, Faculty of Engineering, Binus University Jl. K.H. Syahdan No. 9, Palmerah, Jakarta

Lebih terperinci

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA Mata Kuliah Kode / SKS Program Studi Fakultas : Pemrograman Devais FPGA : IT012254 / 2 SKS : Sistem Komputer : Ilmu Komputer & Teknologi Informasi 1 Pengenalan dan konsep dasar FPGA TIU: konsep dasar FPGA

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM

Lebih terperinci

BAB 2. Landasan Teori

BAB 2. Landasan Teori BAB 2 Landasan Teori 2. Teori-Teori Dasar/Umum Dalam rangkaian digital, terdapat tiga macam elemen yang digunakan, diantaranya adalah: Memory, Microprocessor, dan Perangkat Logika. Memory merupakan perangkat

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI...

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI... DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... i ii iv v vi KATA PENGANTAR... vii DAFTAR ISI... ix DAFTAR GAMBAR... xii DAFTAR TABEL... xiv DAFTAR SINGKATAN...

Lebih terperinci

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language) Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl.

Lebih terperinci

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang

BAB X MEMORY. RAM (Random Access Memory) DRAM (Dynamic RAM) SRAM (Static RAM) MOS. Kementerian Pendidikan dan Kebudayaan Politeknik Negeri Malang BAB X MEMORY Capaian Pembelajaran Setelah mempelajari materi ini, mahasiswa akan mampu : Menjelaskan pengertian penyimpan (memory) data digital. Menjelaskan pengertian dan perbedaan sistem memory RAM dan

Lebih terperinci

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19

FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 FPGA DAN VHDL TEORI, ANTARMUKA DAN APLIKASI Chapter 19 Antarmuka Pada FPGA Xilinx Spartan-3E Ferry Wahyu Wibowo Outlines Pendahuluan Analog Capture Circuit Programmable Pre-Amplifier Analog-to-Digital

Lebih terperinci

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY Ferry Wahyu Wibowo Dosen STMIK AMIKOM Yogyakarta ferrywahyu@gmail.com Abstrak Telah dibuat rangkaian yang berfungsi

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

Pendahuluan BAB I PENDAHULUAN

Pendahuluan BAB I PENDAHULUAN Pendahuluan BAB I PENDAHULUAN 1.1. Definisi Komputer Komputer merupakan mesin elektronik yang memiliki kemampuan melakukan perhitungan-perhitungan yang rumit secara cepat terhadap data-data menggunakan

Lebih terperinci

BAB II LANDASAN TEORI

BAB II LANDASAN TEORI BAB II LANDASAN TEORI PLC (Programable Logic Control) adalah kontroler yang dapat diprogram. PLC didesian sebagai alat kontrol dengan banyak jalur input dan output. Pengontrolan dengan menggunakan PLC

Lebih terperinci

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY

PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY PENGANTAR MIKROKOMPUTER PAPAN TUNGGAL (SINGLE CHIP) Oleh : Sumarna, Jurdik Fisika, FMIPA UNY E-mail : sumarna@uny.ac.id A. Utama Sistem Mikrokomputer Gambar berikut menunjukkan 5 (lima) unit utama dalam

Lebih terperinci

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto Kuliah#7 TSK205 - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Tentang Kuliah Pembahasan tentang teknologi implementasi sistem digital Chip logika standar keluarga Chip PLD: PLA,

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283

SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 SISTEM DIGITAL; Analisis, Desain dan Implementasi, oleh Eko Didik Widianto Hak Cipta 2014 pada penulis GRAHA ILMU Ruko Jambusari 7A Yogyakarta 55283 Telp: 0274-889398; Fax: 0274-889057; E-mail: info@grahailmu.co.id

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection...

Voter dan error detector Pengujian Sistem Pengujian perpindahan mode Pengujian dengan fault injection... DAFTAR ISI HALAMAN PENGESAHAN...iii PERNYATAAN... iv MOTO DAN PERSEMBAHAN... v PRAKATA... vi DAFTAR ISI... vii DAFTAR GAMBAR... xi DAFTAR TABEL... xiv PENGERTIAN DAN SINGKATAN... xv INTISARI... xviii ABSTRACT...

Lebih terperinci

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

KONTRAK PEMBELAJARAN (KP) MATA KULIAH KONTRAK PEMBELAJARAN (KP) MATA KULIAH Kode MK: TKC305 Program Studi Sistem Komputer Fakultas Teknik Universitas Diponegoro Pengajar : Eko Didik Widianto, ST, MT Semester : 5 KONTRAK PEMBELAJARAN Nama Mata

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

Pertemuan 10 MEMORI INTERNAL

Pertemuan 10 MEMORI INTERNAL Pertemuan 10 MEMORI INTERNAL I. Pengertian Memori internal adalah memori yang dapat diakses langsung oleh prosesor. Fungsi dari memori utama adalah: Menyimpan data yang berasal dari peranti masukan sampai

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

DAFTAR ISI. SAMPUL DALAM... i. PRASYARAT GELAR... ii. LEMBAR PERSETUJUAN... iii. PENETAPAN PANITIA PENGUJI... iv. SURAT PERNYATAAN BEBAS PLAGIAT...

DAFTAR ISI. SAMPUL DALAM... i. PRASYARAT GELAR... ii. LEMBAR PERSETUJUAN... iii. PENETAPAN PANITIA PENGUJI... iv. SURAT PERNYATAAN BEBAS PLAGIAT... DAFTAR ISI Halaman SAMPUL DALAM... i PRASYARAT GELAR... ii LEMBAR PERSETUJUAN... iii PENETAPAN PANITIA PENGUJI... iv SURAT PERNYATAAN BEBAS PLAGIAT... v UCAPAN TERIMA KASIH... vi ABSTRAK... viii ABSTRACT...

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto Desain TKC305 - Sistem Lanjut Desain Eko Didik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar HDL

Lebih terperinci

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara,

Lebih terperinci

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated BAB 1 PENDAHULUAN 1.1 Latar Belakang Teknologi digital kini sudah dapat dinikmati hampir di semua produk yang ada di sekitar kita. Mulai dari kamera, televisi, telepon, sampai mesin cuci. Jika sebuah perangkat

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat

BAB 3 PERANCANGAN SISTEM. Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat BAB 3 PERANCANGAN SISTEM Bab ini akan membahas tentang perancangan sistem yang digunakan dari alat pengukur tinggi bensin pada reservoir SPBU. Dalam membuat suatu sistem harus dilakukan analisa mengenai

Lebih terperinci

DAFTAR ISI ABSTRAKSI KATA PENGANTAR DAFTAR TABEL DAFTAR GAMBAR DAFTAR LAMPIRAN DAFTAR ISTILAH DAN SINGKATAN. 1.1 Latar Belakang Masalah 1

DAFTAR ISI ABSTRAKSI KATA PENGANTAR DAFTAR TABEL DAFTAR GAMBAR DAFTAR LAMPIRAN DAFTAR ISTILAH DAN SINGKATAN. 1.1 Latar Belakang Masalah 1 DAFTAR ISI Halaman ABSTRAKSI KATA PENGANTAR DAFTAR ISI DAFTAR TABEL DAFTAR GAMBAR DAFTAR LAMPIRAN DAFTAR ISTILAH DAN SINGKATAN vii viii x xiv xv xviii xix BAB I PENDAHULUAN 1.1 Latar Belakang Masalah 1

Lebih terperinci

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal

Lebih terperinci

Pengantar Sistem Digital

Pengantar Sistem Digital Pengantar Eko Didik Widianto Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto - siskom undip SK205 1 / 26 Bahasan Deskripsi Kuliah Tata Tertib Kuliah Sistem Evaluasi Buku Acuan/Referensi

Lebih terperinci

ASIC Application Spesific Integrated Circuit

ASIC Application Spesific Integrated Circuit ASIC Application Spesific Integrated Circuit Missa Lamsani Hal 1 ASIC Application Specific Integrated Circuit ASIC (application specific integrated circuit) adalah microchip atau semikonduktor yang dirancang

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

Bab 3 PLC s Hardware

Bab 3 PLC s Hardware Bab 3 PLC s Hardware Sasaran Mahasiswa mampu : o Memahami definisi PLC o Menyebutkan jenis jenis PLC o Menyebutkan bagian bagian hardware PLC o Menjelaskan prinsip kerja bagian bagian hardware PLC 3.1

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat

BAB 1 PENDAHULUAN. manusia selalu berusaha untuk mengembangkan alat bantu yang dapat BAB 1 PENDAHULUAN 1.1 Latar Belakang Manusia pada dasarnya selalu menginginkan adanya seorang pembantu disebelahnya yang selalu siap melayani kapanpun dan dimanapun. Sehingga manusia selalu berusaha untuk

Lebih terperinci

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto Desain TSK505 - Sistem Digital Lanjut Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Sebelumnya dibahas tentang metodologi desain sistem digital menggunakan Xilinx ISE dan pengantar

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

LOGO. Mengenal Memory

LOGO. Mengenal Memory LOGO Mengenal Memory Memory?????? Memori adalah istilah generik bagi tempat penyimpanan data dalam komputer. Memori adalah tempat menyimpan data selama dan sebelum data diproses ke processor. Karakteristik

Lebih terperinci

Sistem Memori. Flip-flop: memori 1-bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB. 4-bit LSB. Flip-flop.

Sistem Memori. Flip-flop: memori 1-bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB. 4-bit LSB. Flip-flop. Sistem Memori Flip-flop: memori -bit Register: memori n-bit, satu lokasi Memori: penyimpan data n-bit, m-lokasi MSB LSB MSB 4-bit LSB 2 Flip-flop Register m n Memori m x n Memori ROM (Read Only Memory)

Lebih terperinci

Perkembangan Mikroprosesor

Perkembangan Mikroprosesor Perkembangan Mikroprosesor Setiap komputer yang kita gunakan didalamnya pasti terdapat mikroprosesor. Mikroprosesor, dikenal juga dengan sebutan Central Processing Unit (CPU) artinya unit pengolahan pusat.

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

Semoga Tuhan memberi berkah pada kelas ini.

Semoga Tuhan memberi berkah pada kelas ini. Semoga Tuhan memberi berkah pada kelas ini. 1 TUJUAN Memberikan pemahaman kepada mahasiswa tentang bagaimana komputer dibentuk oleh komponen-komponen pendukungnya, agar mahasiswa memahami relasi inti perangkat

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3.

MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING. Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. MIKROPENGENDALI TEMU 1 INTRODUCTION TO COMPUTING Sub-Tema : 1. Numbering and Coding System 2. Semiconductor Memory 3. CPU Architecture OLEH : DANNY KURNIANTO,S.T.,M.Eng. SEKOLAH TINGGI TEKNOLOGI TELEMATIKA

Lebih terperinci

BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) "Pengantar Teknologi Informasi" 1

BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) Pengantar Teknologi Informasi 1 BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) "Pengantar Teknologi Informasi" Abstraksi Sistem Komputer Secara abstrak level sebuah sistem komputer modern dari level rendah sampai level tertinggi

Lebih terperinci

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16 DAFTAR ISI HALAMAN JUDUL... i HALAMAN PENGESAHAN... ii PERNYATAAN... iii PRAKATA... iv DAFTAR ISI... vii DAFTAR GAMBAR... x DAFTAR TABEL... xiii DAFTAR ISTILAH DAN SINGKATAN... xiv INTISARI... xv ABSRACT...

Lebih terperinci

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1

TI [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI. disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari. Jurusan Teknik Industri 1 TI091209 [2 SKS] OTOMASI INDUSTRI MINGGU KE-4 LOGIKA OTOMASI disusun oleh: Mokh. Suef Yudha Prasetyawan Maria Anityasari Jurusan Teknik Industri 1 OUTLINE PERTEMUAN INI Bilangan biner dan bilangan heksadesimal

Lebih terperinci

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler BAB II PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F005 2.1 Pengenalan Mikrokontroler Mikroprosesor adalah sebuah proses komputer pada sebuah IC (Intergrated Circuit) yang di dalamnya terdapat aritmatika,

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro.

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro. TKC305 - Sistem Digital Lanjut Eko Didik Prodi Sistem Komputer - Universitas Diponegoro Review Kuliah Pembahasan tentang deskripsi, tujuan, sasaran dan materi kuliah TKC305 Sistem Digital Lanjut. Selain

Lebih terperinci

BAB I PENDAHULUAN 1.1. Latar Belakang

BAB I PENDAHULUAN 1.1. Latar Belakang BAB I PENDAHULUAN 1.1. Latar Belakang Digital Signal Processor (DSP) merupakan satu jenis prosesor dari sekian banyak prosesor yang mengimplementasikan Harvard Architecture, yang berkembang dan dikembangkan

Lebih terperinci

SISTEM BILANGAN DIGITAL

SISTEM BILANGAN DIGITAL SISTEM BILANGAN DIGITAL Ferry Wahyu Wibowo 1 Jurusan Teknik Informatika, STMIK AMIKOM Yogyakarta, Jl. Ring Road Utara, Condong Catur, Sleman, Yogyakarta Indonesia 1 ferrywahyu@gmail.com 1. Sistem bilangan

Lebih terperinci

Pengenalan VHDL. [Pengenalan VHDL]

Pengenalan VHDL. [Pengenalan VHDL] Pengenalan VHDL A. Pengenalan Bahasa VHDL VHDL adalah kepanjangan dari VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Pada pertengahan tahun 1980 Departemen Pertahanan Amerika

Lebih terperinci

Pertemuan 8. Sistem Unit. Disampaikan : pada MK Aplikasi Komputer. Direktorat Program Diploma IPB

Pertemuan 8. Sistem Unit. Disampaikan : pada MK Aplikasi Komputer. Direktorat Program Diploma IPB Pertemuan 8 Sistem Unit Disampaikan : pada MK Aplikasi Komputer Direktorat Program Diploma IPB 2010 Unit Sistem Unit Sistem adalah sebuah kotak yang berisi komponen elektronik lk yang digunakan komputer

Lebih terperinci

SATUAN ACARA PERKULIAHAN (SAP)

SATUAN ACARA PERKULIAHAN (SAP) SATUAN ACARA PERKULIAHAN (SAP) Nama Mata Kuliah : Arsitektur Sistem Komputer Kode Mata Kuliah : TI 017 Bobot Kredit : 3 SKS Semester Penempatan : IV Kedudukan Mata Kuliah : Mata Kuliah Keilmuan dan Keterampilan

Lebih terperinci

MATERI PELATIHAN VHDL UNTUK SINTESIS

MATERI PELATIHAN VHDL UNTUK SINTESIS MATERI PELATIHAN VHDL UNTUK SINTESIS LABORATORIUM ELEKTRONIKA DASAR JURUSAN TEKNIK ELEKTRO INSTITUT TEKNOLOGI SEPULUH NOPEMBER (ITS) S U R A B A Y A Materi Pelatihan VHDL 1. Review Sistem Digital 2. HDL

Lebih terperinci

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika

SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika SATUAN ACARA PERKULIAHAN MATA KULIAH : Organisasi Sistem Komputer Strata/Jurusan : SI/T. Informatika Minggu ke 1 Pokok Bahasan dan TIU Pengantar tentang cakupan materi yang akan dibahas dalam organisasi

Lebih terperinci

Pengenalan Komputer. Mahasiswa dapat menjelaskan definisi komputer,jenis generasi perkembangannya. Nawindah,S.Kom, M.Kom. Modul ke: Fakultas FT

Pengenalan Komputer. Mahasiswa dapat menjelaskan definisi komputer,jenis generasi perkembangannya. Nawindah,S.Kom, M.Kom. Modul ke: Fakultas FT Modul ke: Pengenalan Komputer Mahasiswa dapat menjelaskan definisi komputer,jenis generasi perkembangannya. Fakultas FT Nawindah,S.Kom, M.Kom Program Studi Arsitektur www.mercubuana.ac.id Mengenal komputer

Lebih terperinci

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16

2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16 DAFTARISI FIALAMAN JUDUL i LEMBAR PENGESAHAN DOSEN PEMBIMBING h" LEMBAR PENGESAHAN DOSENPENGUJI jij HALAMANPERSEMBAHAN jv HALAMANMOTTO v KATA PENGANTAR V1 ABSTRAKSI viii DAFTARISI ix DAFTARGAMBAR xii DAFTARTABEL

Lebih terperinci

BAB 3. Perancangan Sistem

BAB 3. Perancangan Sistem BAB 3 Perancangan Sistem 3.1 Rancangan Sistem Rancangan Sistem secara keseluruhan dapat dilihat pada Gambar 3.1 Gambar 3.1 Blok Diagram Sistem Berdasarkan Gambar 3.1 mengenai Blok Diagram Sistem terdapat

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan teknologi komunikasi dalam sepuluh tahun terakhir meningkat dengan sangat cepat. Salah satunya adalah televisi digital. Televisi digital adalah

Lebih terperinci

ARSITEKTUR MIKROKONTROLER AT89C51/52/55

ARSITEKTUR MIKROKONTROLER AT89C51/52/55 ARSITEKTUR MIKROKONTROLER AT89C51/52/55 A. Pendahuluan Mikrokontroler merupakan lompatan teknologi mikroprosesor dan mikrokomputer. Mikrokontroler diciptakan tidak semata-mata hanya memenuhi kebutuhan

Lebih terperinci

IC (Integrated Circuits)

IC (Integrated Circuits) IC (Integrated Circuits) Crystal semikonduktor silikon (chip) yang didalamnya merupakan integritas dari komponen elektronik (representasi rangkaian gerbang logika) Rangkaian didalam IC dihubungkan dengan

Lebih terperinci

BAB III PERANCANGAN UIMEGA 8535

BAB III PERANCANGAN UIMEGA 8535 BAB III PERANCANGAN UIMEGA 8535 3.1 ARSITEKTUR UIMEGA 8535 Arsitektur UIMega 8535 secara umum diperlihatkan pada Gambar 3.1. UIMega 8535 terdiri dari lima modul utama, yaitu modul ROM, modul instruction

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

Sistem Mikroprosessor

Sistem Mikroprosessor Sistem Mikroprosessor Agung Prasetyo,ST. Jurusan Teknik Elektro Akademi Teknologi Warga Surakarta Sistem yang berbasis microprosessor: Juga biasa di sebut microcomputer adalah suatu rangkaian digital yang

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP)

GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Mata Kuliah : Arsitektur Komputer Bobot Mata Kuliah : 3 Sks GARIS-GARIS BESAR PROGRAM PENGAJARAN (GBPP) Deskripsi Mata Kuliah : kepada mahasiswa secara mendalam mengenai konsep-konsep dari fungsi dan struktur

Lebih terperinci

REPRESENTASI DATA DATA REPRESENTATION

REPRESENTASI DATA DATA REPRESENTATION ASSALAMU ALAIKUM ARSITEKTUR KOMPUTER REPRESENTASI DATA DATA REPRESENTATION Disajikan Oleh : RAHMAD KURNIAWAN,S.T., M.I.T. TEKNIK INFORMATIKA UIN SUSKA RIAU Analog vs Digital Ada dua cara dasar untuk merepresentasikan

Lebih terperinci

Tuhanmemberi. kelasini

Tuhanmemberi. kelasini SemogaTuhan Tuhanmemberi memberiberkah berkah padakelas kelasini ini. 1 RANGKAIAN DIGITAL SILABUS PERKULIAHAN 1. Sistem Digital 2. Sistem Bilangan 3. Gerbang Logika 4. Penyederhanaan Rangkaian Logika (Metode

Lebih terperinci

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6.

1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. 1. Konsep Sistem Bilangan 2. Konsep Gerbang Logika 3. Penyederhanaan logika 4. Konsep Flip-Flop (Logika Sequensial) 5. Pemicuan Flip-Flop 6. Pencacah (Counter) 7. Register Geser 8. Operasi Register 9.

Lebih terperinci

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL Agfianto Eko Putra 1, Arsyad Muhammad Fajri 2 1,2 ) Program Studi Elektronika & Instrumentasi, Jurusan Fisika Fakultas MIPA,

Lebih terperinci