2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16

Ukuran: px
Mulai penontonan dengan halaman:

Download "2.4 Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) 16"

Transkripsi

1 DAFTARISI FIALAMAN JUDUL i LEMBAR PENGESAHAN DOSEN PEMBIMBING h" LEMBAR PENGESAHAN DOSENPENGUJI jij HALAMANPERSEMBAHAN jv HALAMANMOTTO v KATA PENGANTAR V1 ABSTRAKSI viii DAFTARISI ix DAFTARGAMBAR xii DAFTARTABEL xiv BABI PENDAHULUAN 1.1 LatarBelakang I 1.2 Rumusan Masalah Batasan Masalah TujuanPenelitian SistematikaPenulisan 3 BAB II LANDASAN TEORI 2.1 Pengertian Sinyal Pemrosesan Sinyal Filter Filter Wiener Filter Adaptif Sistem Penghapus Derau (Noise Canceling) Algoritma Recursive Least Square (RLS) Field Programmable Gate Array (FPGA) FPGA keluarga Xilinx Spartan II Struktur Dasar Keluarga Spartan II \j \ InputOutput Blocks (IOB) is Configurable Logic Blocks (CLB) 19 IX

2 Programmable Routing Matrix Pemrograman FPGA Mode Operasi 22 BAB III PERANCANGAN SISTEM 3.1 Sistem Kerja Perancangan Perangkat Keras Analog to Digital Converter(ADC) Digital to Analog Converter (DAC) Rangkaian DIP Switch Perancangan Perangkat Lunak Perancangan Rangkaian Dasar Rangkaian Inisialisasi Rangkaian Penjumlah (F«// Addef) Rangkaian Pengurang (Substractor) 3] Rangkaian Pengali (Multiplier) Rangkaian Pembagi (Divider) Register Penyangga dan Tunda Perancangan Filterdengan Algoritma RLS Rangkaian untuk Gain Vector (k) Rangkaian untuk Matriks Korelasi(P) Rangkaian untuk alpha (a) Rangkaian untuk Pembaharuan Bobot (w) Rangkaian untuk Output Filter (y) 44 BAB IV HASIL PENGAMATAN DAN ANALISA 4.1 Pendahuluan Analisis Perangkat Keras Analisis Analog to Digital Converter (ADC) Analisis Field Programmable Gate Array (FPGA) Analisis Digital to Analog Converter (DAC) Analisis Sistem Analisis Terhadap Data Masukan Suara yang Berupa

3 Sinyal Sinus dari Audio Frequency Generator (AFG) Analisis Terhadap Data Masukan Suara Asli (Suara Manusia) BAB V PENUTUP 5.1 Kesimpulan Saran go DAFTAR PUSTAKA LAMPIRAN XI

4 DAFTAR GAMBAR Gambar 2.1 Bentuk Umum dari Filter Adaptif 7 Gambar 2.2 Sistem Adaptifuntuk Prediksi (Prediction) 9 Gambar 2.3 Sistem Adaptifuntuk Identifikasi Sistem (System Identification) 9 Gambar 2.4 Sistem Adaptifuntuk Pemodelan Invers (Inverse Modeling) 10 Gambar 2.5 Sistem Adaptifuntuk Penghilang Derau (Interference Canceling) 10 Gambar 2.6 Diagram Sistem Penghapus Derau Adaptif. n Gambar 2.7 Filter Transversal 13 Gambar2.8 Diagram BlokDasar Keluarga Spartan II 17 Gambar 2.9 Blok IOB Spartan II 18 Gambar 2.10 CLB padaspartan II 19 Gambar 2.11 Struktur Local Routing 21 Gambar 2.12 Koneksi BUFT untuk dedicated Horizontal Bus Line 21 Gambar 3.1 Diagram Blok Sistem 20 Gambar 3.2 Rangkaian Analog to Digital Converter (ADC) 25 Gambar 3.3 Rangkaian Digital to Analog Converter (DAC) 26 Gambar 3.4 Rangkaian DIP Switch 27 Gambar 3.5 Rangkaian dan Blok Digital untuk Nilai Inisial = 0 28 Gambar 3.6 Rangkaian dan Blok Digital untuk Nilai Inisial Gambar 3.7Rangkaian dan Blok Full Adder Satu Bit 29 Gambar 3.8 Rangkaian dan Blok Full Adder 8 bit 30 Gambar 3.9 Rangkaian dan Blok Substractor 8 bit 32 Gambar 3.10 Perkalian Dua Bilangan Biner 8bit 33 Gambar 3.11 Rangkaian dan Blok Multiplier 8 bit 35 Gambar 3.12 Blok untuk Rangkaian Divider 36 Gambar 3.13 Blok Tunda 37 Gambar 3.14 Blok untuk Penyangga 37 Gambar 3.15 Rangkaian Penyangga dan Tunda 38 Xll

5 Gambar 3.16 Rangkaian dan Blok Penyebut 40 Gambar 3.17 Rangkaian dan Blok Gain Vector (k) 40 Gambar 3.18 Rangkaian Matriks Korelasi (P) 41 Gambar 3.19 Blok dari Rangkaian Matriks korelasi (P) 42 Gambar 3.20 Rangkaian Alpha (a) 43 Gambar 3.21 Rangkaian untuk 1 Bobot 44 Gambar 3.22 Rangkaian 1 Output Filter 44 Gambar 4.1 Grafik Output ADC 47 Gambar 4.2 Tampilan Program Floorplanner 48 Gambar 4.3 Tampilan CLB yang Digunakan 48 Gambar 4.4 Tampilan Mode untuk Mendownload Program 49 Gambar 4.5 Tampilan ProsesDownload Sukses 49 Gambar 4.6 Grafik Output DAC 51 Gambar 4.7 Bentuk Sinyal Sinus yang Tercampur Derau 52 Gambar 4.8 adalah Bentuk Sinyal Ate.? Referensi 52 Gambar 4.9 Bentuk Sinyal Keluaran dengan f= 550 Hz dan X= 0,5 53 Gambar 4.10 Bentuk Sinyal Keluaran dengan f= 550 Hz dan X- 0, Gambar 4.11 Bentuk Sinyal Keluaran dengan f = 550 Hz dan X- 0, Gambar 4.12 Bentuk Sinyal Suara yang Tercampur Noise 56 Gambar 4.13 Bentuk Sinyal Keluaran dengan X=0,5 57 Gambar 4.14 Bentuk Sinyal Keluaran dengan Ji=0, Gambar 4.15 Bentuk Sinyal Keluaran dengan a-0, Gambar 4.16 Bentuk Sinyal Keluaran Sebelum Diberi Masukan 58 xin

6 DAFTAR TABEL Tabel 2.1 Konfigurasi Pin yang Terdapat padarangkaian D2 2 Tabel 4.1 Tabel Hasil Pengujian ADC 46 Tabel 4.2 Tabel Hasil Pengujian DAC 42 Tabel 4.3 Tabel Sinyal Output dengan a = 0,5 53 Tabel 4.4 Tabel Sinyal Output dengan X= 0, Tabel 4.5 Tabel Sinyal Output dengan/. = 0, J> xiv

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

BABI PENDAHULUAN 1.1 Latar Belakang

BABI PENDAHULUAN 1.1 Latar Belakang BABI PENDAHULUAN 1.1 Latar Belakang Saat ini transformasi wavelet banyak sekali digunakan dan bermanfaat untuk analisis numerik, analisis isyarat, aplikasi kontrol dan aplikasi audio [1]. Dalam analisis

Lebih terperinci

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk IMPLEMENTASI SERIAL MULTIPLIERS 8 BIT KE DALAM IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN DALAM KOMPRESI CITRA Drs. Lingga Hermanto, MMSi 1 Iman Ilmawan Muharam 2 1. Dosen Universitas Gunadarma

Lebih terperinci

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004

Universitas Bina Nusantara. Jurusan Sistem Komputer. Skripsi Sarjana Komputer. Semester Genap tahun 2003/2004 Universitas Bina Nusantara Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2003/2004 PERANCANGAN SWITCHING AMPLIFIER DENGAN TEKNIK DIGITAL PULSE WIDTH MODULATION BERBASISKAN FPGA

Lebih terperinci

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan BAB I PENDAHULUAN 1.1 Latar Belakang Field Programmable Gate Array (FPGA) ialah IC digital yang sering digunakan untuk mengimplementasikan rangkain digital. Jika dilihat dari segi namanya, Field Programmable

Lebih terperinci

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array FPGA Field Programmable Gate Array Missa Lamsani Hal 1 FPGA FPGA (Field Programable Gate Array) adalah rangkaian digital yang terdiri dari gerbanggerbang logika dan terinterkoneksi sehingga dapat terhubung

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

Pengarah Suara Pada Sistem Audio Berdasarkan Beda Phasa Menggunakan Fpga

Pengarah Suara Pada Sistem Audio Berdasarkan Beda Phasa Menggunakan Fpga JURNAL TEKNIK ITS Vol. 7, No. 1 (2018), 2337-3520 (2301-928X Print) A 92 Pengarah Suara Pada Sistem Audio Berdasarkan Beda Phasa Menggunakan Fpga Olly Bangon Baskhoro, Muhammad Rivai, dan Fajar Budiman

Lebih terperinci

BAB IV PENGUKURAN DAN ANALISIS

BAB IV PENGUKURAN DAN ANALISIS BAB IV PENGUKURAN DAN ANALISIS Untuk mengetahui apakah hasil rancangan yang dibuat sudah bekerja sesuai dengan fungsinya atau tidak, perlu dilakukan beberapa pengukuran pada beberapa test point yang dianggap

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

BAB I PENDULUAN 1.1 Pengertian Digital

BAB I PENDULUAN 1.1 Pengertian Digital BAB I PENDULUAN 1.1 Pengertian Digital Apa itu digital? Mungkin itu pertanyaan yang akan muncul ketika kita berbicara mengenai Sistem Digital. Untuk menjawab pertanyaan tersebut ada baiknya kita tinjau

Lebih terperinci

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16

Hasil Oversampling 13 Bit Hasil Oversampling 14 Bit Hasil Oversampling 15 Bit Hasil Oversampling 16 DAFTAR ISI HALAMAN JUDUL... i HALAMAN PENGESAHAN... ii PERNYATAAN... iii PRAKATA... iv DAFTAR ISI... vii DAFTAR GAMBAR... x DAFTAR TABEL... xiii DAFTAR ISTILAH DAN SINGKATAN... xiv INTISARI... xv ABSRACT...

Lebih terperinci

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat

BAB 2 LANDASAN TEORI. input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat BAB 2 LANDASAN TEORI 2.1 Amplifier Suatu rangkaian elektronik yang menggunakan komponen aktif, dimana suatu input mengendalikan suatu sumber daya untuk menghasilkan output yang dapat digunakan disebut

Lebih terperinci

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA

Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Implementasi Low Pass Filter Digital IIR (Infinite-Impulse Response) Butterworth pada FPGA Fikri Aulia, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan, S.T., MT. Abstrak FPGA merupakan IC yang dapat

Lebih terperinci

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i

PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i PERANCANGAN FILTER FIR MENGGUNAKAN SOFTWARE XILINX ISE 9.2i A. PENDAHULUAN Filter FIR yang dirancang memiliki persamaan sebagai berikut. ( ) ( ) ( ) ( ) Gambar struktur (diagram blok) dari filter ini adalah

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang

BAB I PENDAHULUAN 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Adaptive Noise Cancellation merupakan salah satu aplikasi filter adaptif yang digunakan untuk meredam noise pada sinyal. Aplikasi filter ini menggunakan algoritma Least

Lebih terperinci

PERANCANGAN PLC MENGGUNAKAN FPGA

PERANCANGAN PLC MENGGUNAKAN FPGA PERANCANGAN PLC MENGGUNAKAN FPGA Satrio Dewanto 1 ; Hadi Yoshua 2 ; Bambang 3 ; Muhammad Nabil 4 1 Jurusan Sistem Komputer, Fakultas Ilmu Komputer, Universitas Bina Nusantara, Jalan K.H. Syahdan No. 9,

Lebih terperinci

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone BAB I PENDAHULUAN 1.1 Latar Belakang Sistem komunikasi mengalami perkembangan yang sangat pesat terutama sistem komunikasi nirkabel. Hal ini dikarenakan tuntutan masyarakat akan kebutuhan komunikasi di

Lebih terperinci

DAFTAR ISI BAB II. TINJAUAN PUSTAKA... 5

DAFTAR ISI BAB II. TINJAUAN PUSTAKA... 5 DAFTAR ISI HALAMAN JUDUL... i HALAMAN PENGESAHAN... iii PERNYATAAN... iv PERSEMBAHAN.... v KATA PENGANTAR... vii DAFTAR ISI... ix DAFTAR GAMBAR... xi DAFTAR TABEL... xiii INTISARI... xiv ABSTRACT... xv

Lebih terperinci

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM

BAB III PERANCANGAN DAN REALISASI ALAT. modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok Diagram Modulator 8-QAM BAB III PERANCANGAN DAN REALISASI ALAT 3.1 Pembuatan Modulator 8-QAM Dalam Pembuatan Modulator 8-QAM ini, berdasarkan pada blok diagram modulator 8-QAM seperti pada gambar 3.1 berikut ini: Gambar 3.1 Blok

Lebih terperinci

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada BAB 1 PENDAHULUAN 1.1 Latar Belakang Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada salah satu teknik penjamakan, yaitu penjamakan pembagian frekuensi (Frequency Division Multiplexing,

Lebih terperinci

DAFTAR ISI. Halaman Judul. Lembar Pengesahan Pembimbing. Lembar Pernyataan Keaslian. Lembar Pengesahan Penguji. Halaman Persembahan.

DAFTAR ISI. Halaman Judul. Lembar Pengesahan Pembimbing. Lembar Pernyataan Keaslian. Lembar Pengesahan Penguji. Halaman Persembahan. xi DAFTAR ISI Halaman Judul Lembar Pengesahan Pembimbing Lembar Pernyataan Keaslian Lembar Pengesahan Penguji Halaman Persembahan Halaman Motto Kata Pengantar Abstraksi Daftar Isi Daftar Gambar Daftar

Lebih terperinci

SISTEM PENGENDALI LEVEL DAN VOLUME AIR PADA PROSES PENGISIAN BAK PENAMPUNG AIR MENGGUNAKAN AT89S51 DENGAN PENAMPIL SEGMENT 7 TUGAS AKHIR

SISTEM PENGENDALI LEVEL DAN VOLUME AIR PADA PROSES PENGISIAN BAK PENAMPUNG AIR MENGGUNAKAN AT89S51 DENGAN PENAMPIL SEGMENT 7 TUGAS AKHIR SISTEM PENGENDALI LEVEL DAN VOLUME AIR PADA PROSES PENGISIAN BAK PENAMPUNG AIR MENGGUNAKAN AT89S51 DENGAN PENAMPIL SEGMENT 7 TUGAS AKHIR Diajukan Untuk Memenuhi Tugas dan Syarat Syarat Guna Memperoleh

Lebih terperinci

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata BAB 4 IMPLEMENTASI DAN EVALUASI Pelaksanaan dari perancangan yang sudah dibuat dan dijelaskan pada Bab 3 selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata (secara hardware).

Lebih terperinci

BAB II PENCUPLIKAN DAN KUANTISASI

BAB II PENCUPLIKAN DAN KUANTISASI BAB II PENCUPLIKAN DAN KUANTISASI Sebagian besar sinyal-sinyal di alam adalah sinyal analog. Untuk memproses sinyal analog dengan sistem digital, perlu dilakukan proses pengubahan sinyal analog menjadi

Lebih terperinci

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI...

DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... KATA PENGANTAR... vii DAFTAR ISI... DAFTAR ISI LEMBAR PENGESAHAN... LEMBAR PERSETUJUAN... PERNYATAAN KEASLIAN... ABSTRAK... ABSTRACT... i ii iv v vi KATA PENGANTAR... vii DAFTAR ISI... ix DAFTAR GAMBAR... xii DAFTAR TABEL... xiv DAFTAR SINGKATAN...

Lebih terperinci

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh DESAIN METODE PENGATURAN DATA BARIS CITRA BLOK 8 PIXEL UNTUK IMPLEMENTASI PADA IC FPGA SEBAGAI PENDUKUNG PERCEPATAN OPERASI PERKALIAN QDCT DALAM PROSES KOMPRESI CITRA JPEG Drs. Lingga Hermanto, MMSi 1

Lebih terperinci

PERENCANAAN DAN PEMBUATAN ALAT PENAMPIL INFORMASI MENGGUNAKAN DOT MATRIX RGB

PERENCANAAN DAN PEMBUATAN ALAT PENAMPIL INFORMASI MENGGUNAKAN DOT MATRIX RGB PERENCANAAN DAN PEMBUATAN ALAT PENAMPIL INFORMASI MENGGUNAKAN DOT MATRIX RGB DENGAN PENGONTROLAN VIA REMOTE CONTROL TV BERBASIS MIKROKONTROLLER ATMEGA 64 TUGAS AKHIR DisusunOleh : ARYANTO NIM. 08530072

Lebih terperinci

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1 Disusun oleh Nama : Hannita Andriani NPM : 13410128 Jurusan : Teknik Elektro Dosen Pembimbing I : Dr. Wahyu Kusuma

Lebih terperinci

BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN. 1.1 Latar Belakang BAB I PENDAHULUAN 1.1 Latar Belakang Dengan meluasnya pemakaian personal computer (PC) sekarang ini, maka semakin mudah manusia untuk memperoleh PC dan makin terjangkau pula harganya. Ada banyak komponen

Lebih terperinci

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Teknologi komunikasi digital telah berkembang dengan sangat pesat. Telepon seluler yang pada awalnya hanya memberikan layanan komunikasi suara, sekarang sudah

Lebih terperinci

BAB I PENGENALAN KONSEP DIGITAL

BAB I PENGENALAN KONSEP DIGITAL BAB I PENGENALAN KONSEP DIGITAL Di dalam science, teknologi, bisnis dan pada semua bidang-bidang ilmu yang lain, selalu berurusan dengan kuantitas. Kuantitas-kuantitas ini diukur, dimonitor, dicatat, dan

Lebih terperinci

Makalah Seminar Tugas Akhir

Makalah Seminar Tugas Akhir Makalah Seminar Tugas Akhir PENGGUNAAN ADAPTIVE NOISE CANCELLATION (ANC) UNTUK PENEKANAN DERAU PADA PERCAKAPAN TELEPON Syaiful Amri [1], Achmad Hidayatno, ST, MT [2], Darjat, ST, MT [2] The problems that

Lebih terperinci

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA...

DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA... DAFTAR ISI HALAMAN JUDUL... HALAMAN PENGESAHAN... HALAMAN PENYATAAN... INTISARI... ABSTRACT... HALAMAN MOTTO... HALAMAN PERSEMBAHAN... PRAKATA... DAFTAR ISI... DAFTAR GAMBAR... DAFTAR TABEL... i iii iv

Lebih terperinci

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA Pada bab ini akan dibahas tentang implementasi perangkat pengendali digital pada FPGA. Hasil desain menggunakan kode Verilog HDL dikompilasi menggunakan tool

Lebih terperinci

BAB 3 PERANCANGAN SISTEM

BAB 3 PERANCANGAN SISTEM BAB 3 PERANCANGAN SISTEM 3.1 Perancangan Sistem Secara Umum Sistem pada penelitian ini akan menyeimbangkan posisi penampang robot dengan mengenal perubahan posisi dan kemudian mengatur kecepatan. Setiap

Lebih terperinci

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler

1.2 Tujuan Penelitian 1. Penelitian ini bertujuan untuk merancang bangun sirkit sebagai pembangkit gelombang sinus synthesizer berbasis mikrokontroler BAB I PENDAHULUAN 1.1 Latar Belakang Pada dewasa ini dunia telekomunikasi berkembang sangat pesat. Banyak transmisi yang sebelumnya menggunakan analog kini beralih ke digital. Salah satu alasan bahwa sistem

Lebih terperinci

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA

Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Rancang Bangun Penyandian Saluran HDB3 Berbasis FPGA Sahbuddin Abdul Kadir 1, Irmawati 2 1,2 Teknik Elektro, Politeknik Negeri Ujung Pandang dinsth@yahoo.com, irmawati@poliupg.ac.id Abstrak Pada sistem

Lebih terperinci

Aplikasi FPGA dalam Pengontrolan Ruangan

Aplikasi FPGA dalam Pengontrolan Ruangan UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2003/2004 Aplikasi FPGA dalam Pengontrolan Ruangan Hendri 0400539326 Tinus Chondro 0400530112 Robin Saor 0400535826

Lebih terperinci

MODUL PRAKTIKUM SISTEM KOMUNIKASI DIGITAL

MODUL PRAKTIKUM SISTEM KOMUNIKASI DIGITAL MODUL PRAKTIKUM SISTEM KOMUNIKASI DIGITAL DIBUAT OLEH: WAHYU PAMUNGKAS, ST LABORATORIUM SWITCHING DAN TRANSMISI AKATEL SANDHY PUTRA PURWOKERTO 2006 1 MODUL PRAKTIKUM SISTEM KOMUNIKASI DIGITAL SIFAT-SIFAT

Lebih terperinci

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER PERCOBAAN 10 ANALOG TO DIGITAL CONVERTER 10.1. TUJUAN : Setelah melakukan percobaan ini mahasiswa diharapkan mampu Menjelaskan proses perubahan dari sistim analog ke digital Membuat rangkaian ADC dari

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

PENINGKATAN KUALITAS SINYAL SUARA MENGGUNAKAN FILTER DIGITAL ADAPTIF DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) Ferdian Andrie/

PENINGKATAN KUALITAS SINYAL SUARA MENGGUNAKAN FILTER DIGITAL ADAPTIF DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) Ferdian Andrie/ PENINGKATAN KUALITAS SINYAL SUARA MENGGUNAKAN FILTER DIGITAL ADAPTIF DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) Ferdian Andrie/0022169 Jurusan Teknik Elektro, Fakultas Teknik, Universitas Kristen Maranatha

Lebih terperinci

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING

LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING LAPORAN TAHUNAN PENELITIAN HIBAH BERSAING METODE EFISIENSI AREA INTEGRATED CIRCUIT (IC) DENGAN REDUKSI WORDLENGTHS UNTUK MENINGKATKAN KINERJA PERANGKAT KOMPUTASI ELEKTRONIK Tahun ke 1 dari rencana 3 tahun

Lebih terperinci

BAB III PERANCANGAN DAN PENGUKURAN

BAB III PERANCANGAN DAN PENGUKURAN BAB III PERANCANGAN DAN PENGUKURAN 3.1 Perancangan Sistem Perancangan mixer audio digital terbagi menjadi beberapa bagian yaitu : Perancangan rangkaian timer ( timer circuit ) Perancangan rangkaian low

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 Tujuan Perancangan Tujuan dari perancangan ini adalah untuk menentukan spesifikasi kerja alat yang akan direalisasikan melalui suatu pendekatan analisa perhitungan, analisa

Lebih terperinci

BAB I PENDAHULUAN. PSD Bab I Pendahuluan 1

BAB I PENDAHULUAN. PSD Bab I Pendahuluan 1 BAB I PENDAHULUAN Pengolahan Sinyal Digital (Digital Signal Processing, disingkat DSP) adalah suatu bagian dari sain dan teknologi yang berkembang pesat selama 40 tahun terakhir. Perkembangan ini terutama

Lebih terperinci

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point BAB 3 PERANCANGAN SISTEM Perancangan sistem pengendalian posisi 3 buah motor DC dengan algoritma PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point tiap masing-masing

Lebih terperinci

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK

REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 ABSTRAK REALISASI ACTIVE NOISE REDUCTION MENGGUNAKAN ADAPTIVE FILTER DENGAN ALGORITMA LEAST MEAN SQUARE (LMS) BERBASIS MIKROKONTROLER LM3S6965 Nama : Wito Chandra NRP : 0822081 Jurusan Teknik Elektro, Fakultas

Lebih terperinci

ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO

ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO ALAT PENGGAMBAR TANGGAPAN MAGNITUDO TAPIS DALAM RENTANG FREKUENSI AUDIO Irwanto 1, Bambang Sutopo 2 1 Penulis, Mahasiswa S-1 Jurusan Teknik Elektro UGM 2 Dosen Pembimbing, Staf Pengajar di Jurusan Teknik

Lebih terperinci

BAB II DASAR TEORI. dapat dihilangkan. Proses pengacakan sinyal tersebut dinamakan scrambling, dan

BAB II DASAR TEORI. dapat dihilangkan. Proses pengacakan sinyal tersebut dinamakan scrambling, dan BAB II DASAR TEORI 2.1 Scrambler Descrambler Evolusi perkembangan teknologi komunikasi dapat dipastikan akan menuju ke bentuk ISDN (Integrated Service Digital Network), yaitu segala jenis pelayanan telekomunikasi

Lebih terperinci

BABI PENDAHULUAN. Pada dunia elektronika dibutuhkan berbagai macam alat ukur dan analisa.

BABI PENDAHULUAN. Pada dunia elektronika dibutuhkan berbagai macam alat ukur dan analisa. BAB I PENDAHULUAN BABI PENDAHULUAN 1.1. LATAR BELAKANG Pada dunia elektronika dibutuhkan berbagai macam alat ukur dan analisa. Salah satunya adalah alat untuk mengukur intensitas bunyi dan gain dari sinyal

Lebih terperinci

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika TAKARIR AC (Alternating Current) Adalah sistem arus listrik. Sistem AC adalah cara bekerjanya arus bolakbalik. Dimana arus yang berskala dengan harga rata-rata selama satu periode atau satu masa kerjanya

Lebih terperinci

BAB 1 PENDAHULUAN. ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya

BAB 1 PENDAHULUAN. ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya BAB 1 PENDAHULUAN 1.1 Latar Belakang Masalah Perkembangan ilmu pengetahuan dan teknologi yang pesat di dunia saat ini ikut mendorong terjadinya pertumbuhan di berbagai bidang, salah satunya adalah munculnya

Lebih terperinci

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA Debyo Saptono 1,Reza Aditya Firdaus 2,Atit Pertiwi 3 1Fakultas Teknik-Jurusan Teknik Elektro, Universitas Gunadarma, Depok 16424 E-mail : debyo@staff.gunadarma.ac.id

Lebih terperinci

PEMBUATAN APLIKASI KOMPRESI FILE WAVE DENGAN METODE HUFFMAN

PEMBUATAN APLIKASI KOMPRESI FILE WAVE DENGAN METODE HUFFMAN PEMBUATAN APLIKASI KOMPRESI FILE WAVE DENGAN METODE HUFFMAN Oleh : Erwin Ganda Saputra 41508120123 PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS ILMU KOMPUTER UNIVERSITAS MERCU BUANA JAKARTA 201 PEMBUATAN

Lebih terperinci

DAFTAR ISI HALAMAN JUDUL. HALAMAN PENGESAHAN PEMBIMBING. HALAMAN PENGESAHAN PENGUJI... HALAMAN PERSEMBAHAN. HALAMAN MOTTO.. ABSTRAKSI... DAFTAR ISI...

DAFTAR ISI HALAMAN JUDUL. HALAMAN PENGESAHAN PEMBIMBING. HALAMAN PENGESAHAN PENGUJI... HALAMAN PERSEMBAHAN. HALAMAN MOTTO.. ABSTRAKSI... DAFTAR ISI... Xii DAFTAR ISI HALAMAN JUDUL. i HALAMAN PENGESAHAN PEMBIMBING. ii HALAMAN PENGESAHAN PENGUJI... iii HALAMAN PERSEMBAHAN. iv HALAMAN MOTTO.. v KATA PENGANTAR vii ABSTRAKSI..... viii DAFTAR ISI.... x DAFTAR

Lebih terperinci

PERENCANAAN DAN PEMBUATAN ALAT PENGENAL SUARA MANUSIA DENGAN JARINGAN SARAF TIRUAN SKRIPSI. Oleh:

PERENCANAAN DAN PEMBUATAN ALAT PENGENAL SUARA MANUSIA DENGAN JARINGAN SARAF TIRUAN SKRIPSI. Oleh: PERENCANAAN DAN PEMBUATAN ALAT PENGENAL SUARA MANUSIA DENGAN JARINGAN SARAF TIRUAN SKRIPSI, "( :. DU' i -"-- B F' I 1-.. 61- --- Oleh: NAMA: TJlONG CIE JIN NRP : 5103096026 NIRM : 96.7.003.31073.58593,.

Lebih terperinci

SISTEM PENDETEKSI KEBOCORAN DAN PENGAMANAN DINI PADA KOMPOR LPG BERBASIS FPGA

SISTEM PENDETEKSI KEBOCORAN DAN PENGAMANAN DINI PADA KOMPOR LPG BERBASIS FPGA SISTEM PENDETEKSI KEBOCORAN DAN PENGAMANAN DINI PADA KOMPOR LPG BERBASIS FPGA Era Harara 1), Helmy Widyantara 2) 1,2) Sistem Komputer, STIKOM Surabaya Abstract: By using control system based on Field Programmable

Lebih terperinci

BAB I PENDAHULUAN. Tugas Akhir yang berjudul Sistem Penyama Adaptif dengan Algoritma Galat

BAB I PENDAHULUAN. Tugas Akhir yang berjudul Sistem Penyama Adaptif dengan Algoritma Galat BAB I PENDAHULUAN Bab satu membahas latar belakang masalah, tujuan, dan sistematika pembahasan Tugas Akhir yang berjudul Sistem Penyama Adaptif dengan Algoritma Galat Kuadrat Terkecil Ternormalisasi. Pada

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Konsep dasar perbedaan

Konsep dasar perbedaan PENDAHULUAN Konsep dasar perbedaan ANALOG DAN DIGITAL 1 ANALOG Tegangan Berat Suhu Panjang Kecepatan dlsb 2 DIGITAL Pulsa 0 dan 1 Digit Biner Bit Numerik 3 Benarkah definisi tersebut tadi? 4 ANALOG DIGITAL

Lebih terperinci

DAFTAR ISI. ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi

DAFTAR ISI. ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi DAFTAR ISI ABSTRAK... i KATA PENGANTAR... ii DAFTAR ISI... iv DAFTAR GAMBAR... vii DAFTAR TABEL... x DAFTAR LAMPIRAN... xi BAB I PENDAHULUAN 1.1 Latar Belakang Masalah... 1 1.2 Identifikasi Masalah...

Lebih terperinci

BAB I PENDAHULUAN. PDF created with FinePrint pdffactory Pro trial version BAB I Pendahuluan

BAB I PENDAHULUAN. PDF created with FinePrint pdffactory Pro trial version  BAB I Pendahuluan 1 BAB I PENDAHULUAN 1.1 Latar Belakang Layanan telekomunikasi yang opimal mengacu pada tingkat kualitas layanan yang diberikan. Layanan telekomunikasi yang berkualitas (QoS), mensyaratkan agar data yang

Lebih terperinci

UNIVERSITAS BINA NUSANTARA. Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2005/2006

UNIVERSITAS BINA NUSANTARA. Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2005/2006 UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap tahun 2005/2006 APLIKASI PENENTUAN PENGANGKATAN BEBAN OLEH LENGAN ROBOT BERBASISKAN STRAIN GAUGE Andri Wijaya

Lebih terperinci

PRAKTIKUM II PENGKONDISI SINYAL 1

PRAKTIKUM II PENGKONDISI SINYAL 1 PRAKTIKUM II PENGKONDISI SINYAL 1 Tujuan: Mahasiswa mampu memahami cara kerja rangkaian-rangkaian sinyal pengkondisi berupa penguat (amplifier/attenuator) dan penjumlah (summing/adder). Alat dan Bahan

Lebih terperinci

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI

BABII TINJAUAN PUSTAKA DAN LANDASAN TEORI BAB II TINJAUAN PUSTAKA DAN LANDASAN TEORI 2 2.1 Tinjauan Pustaka Adapun pembuatan modem akustik untuk komunikasi bawah air memang sudah banyak dikembangkan di universitas-universitas di Indonesia dan

Lebih terperinci

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika. Assembler Bahasa pemrograman mikrokontroler MCS-51

TAKARIR. Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika. Assembler Bahasa pemrograman mikrokontroler MCS-51 TAKARIR Akumulator Register yang digunakan untuk menyimpan semua proses aritmatika Assembler Bahasa pemrograman mikrokontroler MCS-51 Assembly Listing Hasil dari proses assembly dalam rupa campuran dari

Lebih terperinci

DAFTAR ISI. Halaman Judul... i. Lembar Pengesahan Pembimbing... ii. Lembar Pernyataan Keaslian...iii. Lembar Pengesahan Pengujian...

DAFTAR ISI. Halaman Judul... i. Lembar Pengesahan Pembimbing... ii. Lembar Pernyataan Keaslian...iii. Lembar Pengesahan Pengujian... xi DAFTAR ISI Halaman Judul... i Lembar Pengesahan Pembimbing... ii Lembar Pernyataan Keaslian...iii Lembar Pengesahan Pengujian... iv Halaman Persembahan... v Halaman Motto... vi Kata Pengantar... vii

Lebih terperinci

BAB I PENDAHULUAN 1.1 Latar Belakang Masalah

BAB I PENDAHULUAN 1.1 Latar Belakang Masalah BAB I PENDAHULUAN 1.1 Latar Belakang Masalah Berkurangnya fungsi pendengaran adalah penurunan fungsi pendengaran pada salah satu ataupun kedua telinga. Hal ini disebabkan oleh infeksi, strokes, obat-obatan,

Lebih terperinci

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series BAB I PENDAHULUAN OBYEKTIF : - Memahami perangkat lunak Xilinx secara umum - Memahami komponen-komponen simulator Xilinx 1.1 Perangkat Lunak Xilinx Xilink ( Xilink Foundation Series) adalah suatu perangkat

Lebih terperinci

OTOMATISASI PENGATUR KELEMBAPAN DAN SUHU PADA OVEN MENGGUNAKAN ATMEGA 8535 LAPORAN TUGAS AKHIR

OTOMATISASI PENGATUR KELEMBAPAN DAN SUHU PADA OVEN MENGGUNAKAN ATMEGA 8535 LAPORAN TUGAS AKHIR OTOMATISASI PENGATUR KELEMBAPAN DAN SUHU PADA OVEN MENGGUNAKAN ATMEGA 8535 LAPORAN TUGAS AKHIR OLEH : FRANSISCUS GUNAWAN 08.50.0016 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS KATOLIK SOEGIJAPRANATA

Lebih terperinci

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017

Materi-2 SENSOR DAN TRANSDUSER (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 Materi-2 SENSOR DAN TRANSDUSER 52150802 (2 SKS / TEORI) SEMESTER 106 TA 2016/2017 KONSEP AKUISISI DATA DAN KONVERSI PENGERTIAN Akuisisi data adalah pengukuran sinyal elektrik dari transduser dan peralatan

Lebih terperinci

TUGAS AKHIR. Perancangan Pengendali PID Berbasis Komputer

TUGAS AKHIR. Perancangan Pengendali PID Berbasis Komputer TUGAS AKHIR Perancangan Pengendali PID Berbasis Komputer Diajukan Guna Melengkapi Sebagian Syarat Dalam Mencapai Gelar Sarjana Strata Satu (S1) Disusun Oleh : Nama : Jonathan Panggabean NIM : 41408110097

Lebih terperinci

IMPLEMENTASI ALGORITMA CORDIC (COORDINATE ROTATION DIGITAL COMPUTER) PADA FPGA UNTUK APLIKASI DIGITAL MIXER.

IMPLEMENTASI ALGORITMA CORDIC (COORDINATE ROTATION DIGITAL COMPUTER) PADA FPGA UNTUK APLIKASI DIGITAL MIXER. IMPLEMENTASI ALGORITMA CORDIC (COORDINATE ROTATION DIGITAL COMPUTER) PADA FPGA UNTUK APLIKASI DIGITAL MIXER. Mahasiswa Bagus Rijalul Haq 2207 100 548 Dosen Pembimbing Ir. Totok Mujiono, M.Kom Jurusan Teknik

Lebih terperinci

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB MODUL 2 PENGENALAN DESAIN MENGGUNAKAN FPGA Iskandar Setiadi (13511073) Asisten: Alfian Abdi / 13208044 Tanggal Percobaan: 01/10/2012 EL2195-Praktikum Sistem Digital Laboratorium Dasar Teknik Elektro -

Lebih terperinci

Seminar Nasional Aplikasi Teknologi Informasi 2008 (SNATI 2008) ISSN: Yogyakarta, 21 Juni 2008

Seminar Nasional Aplikasi Teknologi Informasi 2008 (SNATI 2008) ISSN: Yogyakarta, 21 Juni 2008 IMPLEMENTASI FPGA PADA SPREADING DESPREADING MENGGUNAKAN CODE PN MAX-LENGTH DAN GOLD Anang Budikarso 1), Yoedy Moegiarto 2) Dosen Jurusan Teknik Telekomunikasi Politeknik Elektronika Negeri Surabaya Institut

Lebih terperinci

BAB I PENDAHULUAN. tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk

BAB I PENDAHULUAN. tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk BAB I PENDAHULUAN 1.1 Latar Belakang Tubuh sehat adalah hal yang pasti diinginkan setiap orang. Akan tetapi, tidak semua orang mau menjalankan pola hidup sehat dan teratur untuk mencapainya. Akibatnya,

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN A. DIAGRAM ALUR PENELITIAN Metode penelitian merupakan sebuah langkah yang tersusun secara sistematis dan menjadi pedoman untuk menyelesaikan masalah. Metode penelitian merupakan

Lebih terperinci

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA

BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA BAB 5 VERIFIKASI DAN IMPLEMENTASI FPGA Bab ini membahas tentang proses verifikasi dan implementasi desain ke FPGA board. Proses verifikasi meliputi simulasi fungsional, simulasi gate-level, dan verifikasi

Lebih terperinci

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias

BAB IV PENGUJIAN ALAT DAN ANALISIS DATA Kalibrasi IDAC sebagai pembangkit tegangan bias BAB IV PENGUJIAN ALAT DAN ANALISIS DATA 4.1. Kalibrasi Sistem CV Meter Kalibrasi yang dilakukan meliputi kalibrasi IDAC, IDAC1, Vstep dan ADC. IDAC yang digunakan mempunyai resolusi 8 bit dengan arus skala

Lebih terperinci

BAB III PERANCANGAN ALAT. Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari

BAB III PERANCANGAN ALAT. Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari BAB III PERANCANGAN ALAT Pada perancangan alat untuk sistem demodulasi yang dirancang, terdiri dari beberapa perangkat keras (Hardware) yang akan dibentuk menjadi satu rangkaian pemodulasi sinyal digital

Lebih terperinci

PERANCANGAN OSILOSKOP PC MELALUI SOUNDCARD

PERANCANGAN OSILOSKOP PC MELALUI SOUNDCARD PERANCANGAN OSILOSKOP PC MELALUI SOUNDCARD LAPORAN TUGAS AKHIR OLEH: ALBERTUS ENDRY PUTRANTO 02.50.0056 PROGRAM STUDI TEKNIK ELEKTRO FAKULTAS TEKNOLOGI INDUSTRI UNIVERSITAS KATOLIK SOEGIJAPRANATA SEMARANG

Lebih terperinci

2.1. Filter. Gambar 1. Bagian dasar konverter analog ke digital

2.1. Filter. Gambar 1. Bagian dasar konverter analog ke digital 2.1. Filter Filter adalah suatu alat untuk memisahkan sinyal sinyal yang diinginkan dari sinyal-sinyal yang tidak diinginkan. [1]. Filter berkembang dalam pemakaiannya di bidang Elektroteknik menjadi sebagai

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET Naskah Publikasi diajukan oleh Astona Sura Satrida 08.11.2471 Kepada SEKOLAH TINGGI MANAJEMEN INFORMATIKA DAN KOMPUTER AMIKOM

Lebih terperinci

Encoding dan Decoding Kode BCH (Bose Chaudhuri Hocquenghem) Untuk Transmisi Data

Encoding dan Decoding Kode BCH (Bose Chaudhuri Hocquenghem) Untuk Transmisi Data SEMINAR NASIONAL MATEMATIKA DAN PENDIDIKAN MATEMATIKA UNY 2016 Encoding dan Decoding Kode BCH (Bose Chaudhuri Hocquenghem) Untuk Transmisi Data A-3 Luthfiana Arista 1, Atmini Dhoruri 2, Dwi Lestari 3 1,

Lebih terperinci

BAB III METODE PENELITIAN

BAB III METODE PENELITIAN BAB III METODE PENELITIAN 3.1 Perancangan PWM Generator untuk Pembangkitan Gelombang Sinus. Pada Bab Pendahuluan telah dijelaskan bahwa penelitian ini dibagi menjadi 2 buah bagian, yang pertama perancangan

Lebih terperinci

APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI

APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI UNIVERSITAS BINA NUSANTARA Jurusan Sistem Komputer Skripsi Sarjana Komputer Semester Genap 2004/2005 APLIKASI RFID UNTUK PEMISAHAN PRODUK PADA INDUSTRI Alexander Nicolas 0500577602 Frederic Yolanda 0500586683

Lebih terperinci

BAB IV ANALISIS DATA DAN PEMBAHASAN

BAB IV ANALISIS DATA DAN PEMBAHASAN 34 BAB IV ANALISIS DATA DAN PEMBAHASAN Dalam bab IV ini akan dibahas tentang analisis data dan pembahasan berdasarkan perencanaan dari sistem yang dibuat. Rancangan alat indikator alarm ini digunakan untuk

Lebih terperinci

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array)

DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) 1 DISAIN DAN IMPLEMENTASI RANGKAIAN ELEKTRONIKA DENGAN TEKNOLOGI FPGA (Field Programble Gate Array) Lailis Syafa ah, M.Yance Promesta Jurusan Elektro, Fakultas Teknik, Universitas Muhammadiyah Malang Jln.

Lebih terperinci

Publikasi Jurnal Skripsi

Publikasi Jurnal Skripsi IMPLEMENTASI INVERSE DISCRETE COSINE TRANSFORM (IDCT) PADA FIELD PROGRAMMABLE GATE ARRAY (FPGA) Publikasi Jurnal Skripsi Disusun oleh : SAFRIL WAHYU PAMUNGKAS NIM. 0810633081-63 KEMENTERIAN PENDIDIKAN

Lebih terperinci

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA)

IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) 1 IMPLEMENTASI FILTER DIGITAL FIR (FINITE IMPULSE RESPONSE) PADA FIELD PROGRAMMABLE GATE ARRAYS (FPGA) Rizki Jumadil Putra, Mochammad Rif an, ST., MT., dan Raden Arief Setyawan ST.,MT. Abstrak Filter FIR

Lebih terperinci

DAFTAR ISI. ABSTRACT ii KATA PENGANTAR iii DAFTAR ISI...iv DAFTAR GAMBAR.vii DAFTAR TABEL...ix DAFTAR SINGKATAN...x

DAFTAR ISI. ABSTRACT ii KATA PENGANTAR iii DAFTAR ISI...iv DAFTAR GAMBAR.vii DAFTAR TABEL...ix DAFTAR SINGKATAN...x ABSTRACT Speech coding can be defined as a method to reduce some information which is needed to represent speech signal for transmission or storage application. The main reason of speech coding is how

Lebih terperinci

PEMBUATAN ALAT PEMANTAU KEBISINGAN PADA RUANG TUNGGU RUMAH SAKIT BERBASIS MIKROKONTROLER AT89C51 LAPORAN PROYEK AKHIR

PEMBUATAN ALAT PEMANTAU KEBISINGAN PADA RUANG TUNGGU RUMAH SAKIT BERBASIS MIKROKONTROLER AT89C51 LAPORAN PROYEK AKHIR PEMBUATAN ALAT PEMANTAU KEBISINGAN PADA RUANG TUNGGU RUMAH SAKIT BERBASIS MIKROKONTROLER AT89C51 LAPORAN PROYEK AKHIR Diajukan Sebagai Salah Satu Syarat untuk Memperoleh Gelar Ahli Madya (A.Md)) Teknik

Lebih terperinci

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array)

DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) DESAIN SKEMATIK ALGORITMA HISTOGRAM UNTUK KEBUTUHAN ANALISIS TEKSTUR CITRA BERBASIS FPGA (Field Programmable Gate Array) Atit Pertiwi 1 Sarifudin Madenda 2 Sunny Arief Sudiro 3 1,2,3 Jurusan Sistem Komputer,

Lebih terperinci

BAB 2 LANDASAN TEORI. 2.1 Pengenalan Field Programmable Gate Array (FPGA)

BAB 2 LANDASAN TEORI. 2.1 Pengenalan Field Programmable Gate Array (FPGA) BAB 2 LANDASAN TEORI 2.1 Pengenalan Field Programmable Gate Array (FPGA) FPGA (Field Programmable Gate Array) merupakan salah satu tipe dari PLD (Programmable Logic Devic) atau komponen logic yang dapat

Lebih terperinci

SKRIPSI --- PERENCANAAN DAN PEMBUATAN DECIBEL METER DIGITAL PADA KOMPUTER. f 1. ft - Q. Tn: Oleh:

SKRIPSI --- PERENCANAAN DAN PEMBUATAN DECIBEL METER DIGITAL PADA KOMPUTER. f 1. ft - Q. Tn: Oleh: PERENCANAAN DAN PEMBUATAN DECIBEL METER DIGITAL PADA KOMPUTER SKRIPSI Tn: --- ft - Q Oleh:. k ( fi, KE f 1 NAMA: HANDOKO DJOKO NRP : 5103096040 NIRM : 96.7.003.31073.44919 JURUSAN TEKNIK ELEKTRO FAKULTAS-

Lebih terperinci

Gambar 3. 1 Diagram blok system digital

Gambar 3. 1 Diagram blok system digital 3.1 Introduction Kebanyakan informasi yang ada di dunia nyata adalah besaran analog. Contohnya tegangan, arus listrik, massa, tekanan, suhu, intensitas cahaya dan lain sebagainya. Namun pada era masa kini

Lebih terperinci

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER Ferry Wahyu Wibowo STMIK AMIKOM Yogyakarta e-mail : ferrywahyuwibowo@scientist.com Abstraksi Paper ini membahas

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci