Sistem. Bab 6: Combinational 09/01/2018. Bagian

Ukuran: px
Mulai penontonan dengan halaman:

Download "Sistem. Bab 6: Combinational 09/01/2018. Bagian"

Transkripsi

1 Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional MULTIPLEXER, DEMULTIPLEXER, ENCODER, DECODER. Mahasiswa dapat menjelaskan prinsip Programmable Logic Array. Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. Suatu rangkaian diklasifikasikan sequential jika ia memiliki sifat keluarannya ditentukan tidak hanya oleh masukkan eksternal tetapi juga oleh kondisi sebelumnya. 1

2 Prosedur Perancangan 1. Menentukan jumlah input yang dibutuhkan serta jumlah output yang tertentu. 2. Susun kedalam tabel kebenaran (truth table). 3. Kondisi don t care dapat diikutsertakan apabila tidak mempengaruhi output. 4. Sederhanakan fungsi output dengan K-Map atau Metode Tabulasi 5. Gambar rangkaian logikanya Combinational circuit (rangkaian kombinasi) yang digunakan untuk melakukan operasi aritmatika, disebut arithmetic circuit (rangkaian aritmatika) Operasi aritmatika sederhana dilakukan antar 2 digit biner dan memiliki 4 kemungkinan operasi dasar, yaitu: = = = = 10 Combinational circuit yang melakukan operasi penjumlahan antar 2 bit biner disebut half adder, sedangkan Combinational circuit yang melakukan operasi penjumlahan antar 3 bit biner (2 significant bit dan carry bit) disebut full adder. Half adder dan full adder merupakan dasar perancangan untuk operasi aritmatika lainnya. Half Adder: Combinational circuit yang melakukan operasi penjumlahan antar 2 bit biner Memiliki 2 input dan 2 output Output dinotasikan sebagai S (sum) dan C (carry) Nilai C = 1 jika kedua input bernilai 1 dan S merupakan LS dari sum 2

3 Tabel Kebenaran Half Adder (HA) Simbol Half Adder (HA) Fungsi oolean HA A HA S C Rangkaian Logika HA eberapa macam implementasi dari Half Adder: S = (x + y)(x + y ) C = xy S = (C + x y ) C = xy S = (x + y)(x + y ) C = (x + y ) FULL ADDER Combinational circuit yang melakukan operasi penjumlahan antar 3 bit biner (2 significant bit dan carry bit). Memiliki 3 input (X, Y, dan Z) dan 2 output (S (sum) dan C (carry)). Nilai S = 1, jika salah satu atau ketiga input bernilai 1 dan nilai C = 1, jika 2 atau 3 input bernilai 1. 3

4 Tabel Kebenaran Full Adder (FA) 09/01/2018 Full ADDER FULL ADDER A Cin Simbol Full Adder (HA) F A S Co S C Fungsi oolean FA = X Y Z + X YZ + XY Z +XYZ = X Y Z = XY + XZ + YZ = XY + Z(XY + X Y) = XY + Z(X Y) Rangkaian Logika FA FULL ADDER Merupakan sebuah binary adder parallel yang melakukan penjumlahan 2 bilangan biner. Menggunakan sejumlah (n) full adder secara parallel dengan input yang secara berurutan (simultaneously) diberikan untuk menghasilkan result (sum) Terkoneksi secara simultan, dimana Co (carry out) sebuah FA merupakan Cin (carry input) bagi FA lainnya 4

5 4-bit Ripple Carry Adder Contoh. Diketahui 2 bilangan biner A = 1011 dan = S = 1110 diperoleh menggunakan 4-bit ripple carry adder. Latihan. Tentukan nilai sum operasi penjumlahan menggunakan ripple carry adder Pengurangan bilangan biner dapat dilakukan dengan cara menambahkan substrahend (s) dengan minuend (m) (Chapter 1.) Operasi pengurangan biner melibatkan 2 hal: 1. proses peminjaman nilai (borrow), dan 2. nilai hasil pengurangan (result) inary subtractor terbagi atas dan Full Subtractor 5

6 Tabel Kebenaran HS : rangkaian kombinasional yang melakukan pengurangan 2 bit data dan menghasilkan difference (result). Menggunakan notasi (borrow) dan D (difference) D Fungsi oolean HS = X Y + XY = X Y = X Y Tabel Kebenaran FS Full Subtractor: rangkaian kombinasional yang melakukan operasi pengurangan antar 2 bit Rangkaian memiliki 3 input (X (minuend), Y (subtrahend), Z (previous borrow)) dan 2 output (D dan ) D Fungsi oolean FS = X Y Z + X YZ + XY Z + XYZ = X Y + X Z + YZ 6

7 Tabel Kebenaran Konversi Kode CD to XS-3 Combinational ab 6: Terima Kasih 7

Rangkaian Kombinasional

Rangkaian Kombinasional 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) E-mail: mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 10 JUDUL PERCOBAAN : Half / Full Adder, Adder Subtractor KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

Pengenalan Sistem Bilangan Biner dan Gerbang Logika

Pengenalan Sistem Bilangan Biner dan Gerbang Logika Pengenalan Sistem Bilangan Biner dan Gerbang Logika Silabus Materi : Pengenalan Sistem Bilangan Biner dan Gerbang Logika Pada materi ini akan dikenalkan tentang sistem bilangan biner serta berbagai operasi

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian kombinasional aritmetika Ada 3 jenis Adder : Rangkaian Adder

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Muhammad Adri Abstrak

Muhammad Adri  Abstrak Pengantar Arsitektur Komputer 4 Rangkaian Aritmatika Muhammad Adri mhd.adri@unp.ac.id http://muhammadadri.wordpress.com Abstrak Rangkaian aritmatika merupakan salah satu inti pembahasan dalam pengantar

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Karnaugh MAP (K-Map)

Karnaugh MAP (K-Map) Karnaugh MP (K-Map) Pokok ahasan :. K-map 2 variabel 2. K-map 3 variabel 3. K-map 4 variabel 4. Penyederhanaan rangkaian dengan k-map Tujuan Instruksional Khusus :.Mahasiswa dapat menerangkan dan memahami

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

Fakultas Teknologi Industri Universitas Gunadarma 2013

Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun : 1. Imam Purwanto, S.Kom., MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom. ebook REPRESENTASI DATA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

RANGKAIAN ARITMETIKA 3

RANGKAIAN ARITMETIKA 3 RANGKAIAN ARITMETIKA 3 Pokok Bahasan :. Bilangan biner bertanda (positif dan negatif) 2. Sistim st dan 2 s-complement 3. Rangkaian Aritmetika : Adder, Subtractor 4. Arithmetic/Logic Unit Tujuan Instruksional

Lebih terperinci

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK A VI RANGKAIAN-RANGKAIAN ARITMETIK Fungsi terpenting dari hampir semua computer dan kalkulator adalah melakukan operasi-operasi aritmetik. Operasi-operasi ini semuanya dilaksanakan di dalam unit aritmetik

Lebih terperinci

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG OLEH : ANANG DASA NOVFOWAN NIP : 3 953 23 TUTWURI HANDAYAN I DEPARTEMEN PENDIDIKAN & KEBUDAYAAN

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Review Kuliah Di kuliah sebelumnya

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

Jobsheet Praktikum PARALEL ADDER

Jobsheet Praktikum PARALEL ADDER 1 PARALEL ADDER A. Tujuan Kegiatan Praktikum 3-4 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

RANGKAIAN KOMBINASIONAL

RANGKAIAN KOMBINASIONAL RANGKAIAN KOMBINASIONAL LUH KESUMA WARDHANI JurusanTIF UIN SUSKA Riau LOGIKA KOMBINASI Merupakan jenis rangkaian logika yang keadaan outputnya hanya tergantung dari kombinasi input nya saja. Aljabar Boolean

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NO. PERCOBAAN : 10 KELAS/GROUP : TT3A/08 NAMA PRAKTIKAN : ADE ZASKIATUN NABILA NAMA PARTNER : -SEVTHIA NUGRAHA -SOCRATES PUTRA N TGL PERCOBAAN : 3 OKTOBER 2016 TGL PENYERAHAN

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

BAB I SISTEM BILANGAN DAN PENGKODEAN

BAB I SISTEM BILANGAN DAN PENGKODEAN BAB I SISTEM BILANGAN DAN PENGKODEAN I.. Sistem Bilangan Untuk memahami cara kerja komputer, kita membutuhkan konsep mengenai sistem bilangan dan sistem pengkodean (coding systems) karena adanya perbedaan

Lebih terperinci

SISTEM KONVERTER KODE DAN ADDER

SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER Disusun untuk melengkapi Tugas Elektronika kelas A Teknik Fisika - Fakultas Teknologi Industri - ITS Disusun oleh : Kelompok 1. Abu Hamam 2412 100 100 2. Moudy Azura

Lebih terperinci

Realisasi Rangkaian Kombinasional

Realisasi Rangkaian Kombinasional Realisasi Rangkaian Kombinasional a. XOR, Parity generator/checker Exclusive-OR atau XOR yang dinotasikan dengan symbol, adalah operator logika yang akan memberikan nilai 1 apabila x bernilai 1 atau apabila

Lebih terperinci

BAB VI ENCODER DAN DECODER

BAB VI ENCODER DAN DECODER BAB VI ENCODER DAN DECODER 6.1. TUJUAN EKSPERIMEN Memahami prinsip kerja dari rangkaian Encoder Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Memahami prinsip kerja dari rangkaian Decoder

Lebih terperinci

KARNAUGH MAP (K-MAP) (I)

KARNAUGH MAP (K-MAP) (I) KARNAUGH MAP (K-MAP) (I) Pokok ahasan : K-map 2 variabel K-map 3 variabel K-map 4 variabel Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami cara membuat k-map 2, 3, dan 4 bariabel

Lebih terperinci

KARNAUGH MAP (K-MAP) (I)

KARNAUGH MAP (K-MAP) (I) KARNAUGH MAP (K-MAP) (I) Pokok ahasan : K-map K-map K-map 2 3 4 variabel variabel variabel Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami cara membuat k-map 2, 3, dan 4 bariabel

Lebih terperinci

Gambar 5(a).Tabel Kebenaran Full Adder

Gambar 5(a).Tabel Kebenaran Full Adder . Full dder Gambar 5 merupakan bentuk singkat dari tabel penambahan biner, dengan situasi 1 + 1 + 1. tabel kebenaran pada gambar 5(a) memperlihatkan semua kombinasi yang mungkin dari,, dan Cin (masukan

Lebih terperinci

ARSITEKTUR DAN ORGANISASI KOMPUTER

ARSITEKTUR DAN ORGANISASI KOMPUTER ARSITEKTUR DAN ORGANISASI KOMPUTER PART 3: THE CENTRAL PROCESSING UNIT CHAPTER 9: COMPUTER ARITHMETIC PRIO HANDOKO, S.KOM., M.T.I. CHAPTER 9: COMPUTER ARITHMETIC Kompetensi Dasar Mahasiswa memiliki pengetahuan

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL No. SIL/EKA/EKA239/22 Revisi : 00 Tgl: 21 Juni 2010 Hal 1 dari 5 MATA KULIAH : TEKNIK DIGITAL KODE MATA KULIAH : EKA 239 SEMESTER : 2 PROGRAM STUDI : PENDIDIKAN TEKNIK INFORMATIKA DOSEN PENGAMPU : UMI

Lebih terperinci

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN A III GERANG LOGIKA DAN ALJAAR OOLEAN 3. Pendahuluan Komputer, kalkulator, dan peralatan digital lainnya kadang-kadang dianggap oleh orang awam sebagai sesuatu yang ajaib. Sebenarnya peralatan elektronika

Lebih terperinci

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer MULTIPLEXER Pokok Bahasan :. Pendahuluan 2. Dasar-dasar rangkaian Multipleer. 3. Mendesain rangkaian Multipleer Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami rangkaian Multipleer.

Lebih terperinci

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto

Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean. Yusron Sugiarto Elektronika dan Instrumentasi: Elektronika Digital 2 Gerbang Logika, Aljabar Boolean Yusron Sugiarto Materi Kuliah Rangkaian Logika Ada beberapa operasi-operasi dasar pada suatu rangkaian logika dan untuk

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN SEKOLAH TINGGI MANAJEMEN INFORMAA KOMPUTER JAKARTA STIK SATUAN ACARA PERKULIAHAN Mata : SISTEM DIGITAL Kode Mata : DK - 15303 Jurusan / Jenjang : S1 SISTEM KOMPUTER Tujuan Instruksional Umum : Setelah

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

IP Core Design Rangkaian Sekuensial dan Kombinasional

IP Core Design Rangkaian Sekuensial dan Kombinasional Jurnal Kompetensi Teknik Vol.1, No. 2, Mei 2010 65 IP Core Design Rangkaian Sekuensial dan Kombinasional Tatyantoro Andrasto Jurusan Teknik Elektro, Universitas Negeri Semarang Abstract: Pada proses perancangan

Lebih terperinci

BAB II ARITMATIKA DAN PENGKODEAN

BAB II ARITMATIKA DAN PENGKODEAN TEKNIK DIGITAL/HAL. 8 BAB II ARITMATIKA DAN PENGKODEAN ARITMATIKA BINER Operasi aritmatika terhadap bilangan binari yang dilakukan oleh komputer di ALU terdiri dari 2 operasi yaitu operasi penambahan dan

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya.

2. Gambarkan gerbang logika yang dinyatakan dengan ekspresi Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya. Tugas! (Materi Aljabar Boolean). Gambarkan jaringan switching yang dinyatakan dengan polinominal Boole di bawah, kemudian sederhanakan dan gambarkan bentuk sederhananya, kapan jaringan tsb on atau off.

Lebih terperinci

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean.

09/01/2018. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. Prio Handoko, S. Kom., M.T.I. Capaian Pembelajaran Mahasiswa dapat menjelaskan konsep diagram Venn, teorema Boolean dan membangun fungsi Boolean. George Boole (ahli matematika asal Inggris) Aljabar yang

Lebih terperinci

MATERI 2 COMBINATIONAL LOGIC

MATERI 2 COMBINATIONAL LOGIC Pengantar : :. MATERI 2 COMBINATIONAL LOGIC Rangkaian digital adalah mrp komponen perangkat keras (hardware) yang memanipulasi informasi biner. Rangkaian diimplementasikan dengan menggunakan transistor-transistor

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika

18/09/2017. Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Fakultas Teknologi dan Desain Program Studi Teknik Informatika 8/09/207 Capaian Pembelajaran Mahasiswa mampu menyederhanakan persamaan logika menggunakan Karnaugh Map (K-Map). Mahasiswa mampu

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

Arithmatika Komputer. Pertemuan 3

Arithmatika Komputer. Pertemuan 3 Arithmatika Komputer Pertemuan 3 2.3. Aritmetika Integer Membahas operasi aritmetika (Sistem Komplemen Dua) Penjumlahan Pengurangan Perkalian Pembagian Penjumlahan dan Pengurangan Penambahan pada complement

Lebih terperinci

PERCOBAAN 9. RANGKAIAN ARITMETIKA DIGITAL LANJUT

PERCOBAAN 9. RANGKAIAN ARITMETIKA DIGITAL LANJUT PETUNJUK PRKTIKUM ELEKTRNIK DIGITL PERCN 9. RNGKIN RITMETIK DIGITL LNJUT TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian aritmetika biner : multiplier,

Lebih terperinci

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan

Logika Matematika. Bab 1: Aljabar Boolean. Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan Logika Matematika Bab 1: Aljabar Boolean Andrian Rakhmatsyah Teknik Informatika STT Telkom Lab. Sistem Komputer dan Jaringan 1 Nilai fungsi Fungsi Boolean dinyatakan nilainya pada setiap variabel yaitu

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder

6. Rangkaian Logika Kombinasional dan Sequensial 6.1. Rangkaian Logika Kombinasional Enkoder 6. Rangkaian Logika Kombinasional dan Sequensial Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu rangkaian logika Kombinasional dan rangkaian logika Sequensial. Rangkaian logika Kombinasional

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

Operasi Aritmatika Sistem Bilangan Biner & Bilangan Oktal

Operasi Aritmatika Sistem Bilangan Biner & Bilangan Oktal Operasi Aritmatika Sistem Bilangan Biner & Bilangan Oktal Karunia Suci Lestari k.sucilestari97@gmail.com :: http://ksucilestari97.wordpress.com Abstrak Dalam pengolahan data sistem bilangan terdapat juga

Lebih terperinci

ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer

ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer PENDAHULUAN Empat metoda komputasi dasar yang dilakukan oleh ALU komputer : penjumlahan, pengurangan, perkalian, dan pembagian. Rangkaian ALU dasar terdiri

Lebih terperinci

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014 Kuliah#9 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro 21 Maret 2014 http://didik.blog.undip.ac.id 1 Review Kuliah Di kuliah sebelumnya dibahas tentang: Representasi

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

ARITHMATIC LOGIC UNIT ( alu ) half - full adder, ripple carry adder

ARITHMATIC LOGIC UNIT ( alu ) half - full adder, ripple carry adder 7 Tujuan RITMTI OGI UNIT ( alu ) half - full adder, ripple carry adder : Setelah mempelajari half-full adder, ripple carry adder diharapkan dapat,. Memahami aturan-aturan Penjumlahan bilangan biner 2.

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

Pertemuan Ke-6 ARITMATIKA KOMPUTER

Pertemuan Ke-6 ARITMATIKA KOMPUTER Pertemuan Ke-6 ARITMATIKA KOMPUTER Pendahuluan Aritmetika komputer dibentuk dua jenis bilangan yang sangat berbeda integer dan floating point. Pada kedua jenis bilangan tersebut, pemilihan representasi

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER

LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER LAPORAN PENGERJAAN REVERSIBLE FULL-ADDER Nama Asisten: Yulian Aska NIM: 329 /Mhs S EL-STEI ITB Waktu Pengerjaan Breadboarding: 3/4/23 sampai /4/23 Waktu Pengerjaan pada : 4/6/23 sampai 5/6/23 Laboratorium

Lebih terperinci

Penyederhanaan Fungsi Boolean

Penyederhanaan Fungsi Boolean Penyederhanaan Fungsi Boolean Contoh. f(x, y) = x y + xy + y disederhanakan menjadi f(x, y) = x + y Penyederhanaan fungsi Boolean dapat dilakukan dengan 3 cara:. Secara aljabar 2. Menggunakan Peta Karnaugh

Lebih terperinci

MODUL PRAKTIKUM TEKNIK DIGITAL. Oleh Team Laboratorium

MODUL PRAKTIKUM TEKNIK DIGITAL. Oleh Team Laboratorium MODUL PRAKTIKUM TEKNIK DIGITAL Oleh Team Laboratorium JURUSAN TEKNIK KOMPUTER POLITEKNIK TMKM 2007 Modul Praktikum Teknik Digital 2 Tata Tertib Praktikum PEMAKAIAN LABORATORIUM 1. Yang diperbolehkan menggunakan

Lebih terperinci

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL SKRIPSI diajukan sebagai salah satu persyaratan untuk memperoleh gelar Sarjana Pendidikan Program

Lebih terperinci

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL PERTEMUAN 9 RANGKAIAN KOMBINASIONAL Sasaran Pertemuan 9 Mahasiswa diharapkan mengerti tentang Rangkaian Kombinasional yang terdiri dari : - Multiplexer - Demultiplexer - Decoder - Encoder - Seven Segment

Lebih terperinci

OPERASI DALAM SISTEM BILANGAN

OPERASI DALAM SISTEM BILANGAN OPERASI DALAM SISTEM BILANGAN Pertemuan Kedua Teknik Digital Yus Natali, ST.,MT SISTEM BILANGAN Sistem bilangan adalah cara untuk mewaikili besaran dari suatu item fisik. Sistem bilangan yang banyak dipergunakan

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT

MODUL PRAKTIKUM SISTEM DIGITAL. Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT MODUL PRAKTIKUM SISTEM DIGITAL Oleh : Miftachul Ulum, ST., MT Riza Alfita, ST., MT PROGRAM STUDI S TEKNIK ELEKTRO FAKULTAS TEKNIK UNIVERSITAS TRUNOJOYO MADURA 23-24 KATA PENGANTAR Puji syukur kami panjatkan

Lebih terperinci

Definisi Aljabar Boolean

Definisi Aljabar Boolean Aljabar Boolean Definisi Aljabar Boolean Misalkan terdapat - Dua operator biner: + dan - Sebuah operator uner:. - B : himpunan yang didefinisikan pada operator +,, dan - dan adalah dua elemen yang berbeda

Lebih terperinci

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Dielektrika, ISSN 2086-9487 151 Vol. 2, No. 2 : 151-163, Agustus 2015 PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Multazamar Jan1 1,

Lebih terperinci

Latihan 19 Maret 2013

Latihan 19 Maret 2013 Arsitektur Komputer Latihan 19 Maret 2013 Nama : Neige Devi Samyono (55412277) Shekar Denanda (56412970) Kelas : 2IA15 Tahun : 2013/2014 Mata Kuliah : Arsitektur Komputer Dosen : Fauziah S.Kom JURUSAN

Lebih terperinci

Organisasi Sistem Komputer

Organisasi Sistem Komputer Organisasi Sistem Komputer Materi III Binary Digit (Number System) Dr. Hary Budiarto Program Pasca Sarjana Universitas Putra Indonesia YPTK Padang Komputer Digital Hanya mengenal dua status (mis. ada /

Lebih terperinci