Rangkaian Kombinasional

Ukuran: px
Mulai penontonan dengan halaman:

Download "Rangkaian Kombinasional"

Transkripsi

1 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran di lingkungan Telkom Applied Science School Pokok Bahasan: Adder Subtractor Decoder Encoder

2 9/9/25 Rangkaian Kombinasional Rangkaian kombinasional adalah rangkaian logika diman keluarannya hanya ditentukan oleh kombinasi nilai masukan-masukannya Diagram Blok: I Y I I2 In- Rangkaian Logika Kombinasional Y Y2 Ym- In- I n Rangkaian Logika Kombinasional m Ym- Y a Complete I/O notation b Abridged I/O notation Prosedur Desain Menentukan Spesifikasi Rangkaian 2 Menentukan Algoritma 3 Menentukan Tabel Kebenaran 4 Menentukan Fungsi Keluaran Rangkaian 5 Menentukan Diagram Logika 6 Menguji Hasil Keluaran 2

3 9/9/25 Aritmatika Dasar: Half Adder) () Operasi yang dilakukan : (a) + (b) + (c) + (d) + Carry Bit Sum Bit Aritmatika Dasar: Half Adder) (2) Penentuan Tabel Kebenaran (Prosedur 3) : A B Carry Sum 3

4 9/9/25 Aritmatika Dasar: (Half Adder) (3) K-Map dari tabel kebenaran (Prosedur 4) : Sum B A Sum = A B Carry B A Hasil Realisasi Rangkaian Half Adder (Prosedur 5) : A B Carry AB AB Carry A B Carry Sum Aritmatika Dasar (Full Adder)() Tabel Kebenaran Rangkaian Full Adder : A B C in Sum C out 4

5 9/9/25 Aritmatika Dasar (Full Adder)(2) K-Map dari Tabel Kebenaran : Sum AB C in Sum A B C in C out AB C in C out AB AC in BC in C out A B C in Sum Full Adder dgn 2 buah HA Cin HA S A B HA Co 5

6 9/9/25 4-bit Ripple-Carry Binary Adder 4 Bit Binary Adder disusun dari 4 buah Full Adder B 3 A 3 B 2 A 2 B A B A FA C 3 C 2 C FA FA FA C C 4 S 3 S 2 S S Aritmatika Dasar: Half Subtractor () Operasi yang dilakukan : (a) (c) - - (b) Borrow Bit (d) - - Sub Bit Carry Bit 6

7 9/9/25 Aritmatika Dasar: Half Subtractor (2) Penentuan Tabel Kebenaran (Prosedur 3) : A B Sub Borrow Aritmatika Dasar: Half Subtractor (3) K-Map dari tabel kebenaran (Prosedur 4) : Hasil Realisasi Rangkaian Half Subtractor (Prosedur 5) : 7

8 9/9/25 Aritmatika Dasar (Full Subtractor)() Tabel Kebenaran Rangkaian Full Subtractor : A B B in Sub B out Aritmatika Dasar (Full Subtractor)(2) K-Map dari Tabel Kebenaran : 8

9 9/9/25 Aritmatika Dasar (Full Subtractor)(3) Realisasi Rangkaiannya: 4-bit Binary Subtractor 9

10 9/9/25 Rangkaian Multiplexer 2 ke() Tabel Kebenaran : X X 2 S F X X 2 S F X X 2 X X X 2 X 2 Bentuk Penyederhanaan Bentuk Tabel Kebenaran Lengkap Rangkaian Multiplexer 2 ke(2) K-Map dari Tabel Kebenaran : F x x 2 S F XS X 2S Realisasi dan Simbol Rangkaian : x s x 2 s f x x 2 f Rangkaian Multiplexer 2 ke Simbol Multiplexer 2 ke

11 9/9/25 BINARY DECODING Mengkonversi sebuah n-bit code ke dalam sebuah (satu) output yang aktif (low/high) Rangkaiannya dapat dibentuk menggunakan AND atau OR gate Jumlah masukan (input) < Jumlah Keluaran (Output) n input dan 2 n output Hanya satu output yang aktif(low/high) dari banyak input yang diberikan n inputs Binary Decoder n 2 outputs -to-2 Binary Decoder Tabel to 2 A Y Y A -to-2 Decoder Y Y Rangkaian

12 9/9/25 2-to-4 Binary Decoder Tabel Kebenaran: X Y F F F 2 F 3 X Y 2-to-4 Decoder F F F2 F3 Dari tabel kebenaran 2 to 4 diperoleh persamaan : 2-variable minterm (X'Y', X'Y, XY', XY) Rangkaian 2-to-4 Binary Decoder F = X'Y' F = X'Y F 2 = XY' F 3 = XY X Y 2

13 9/9/25 3-to-8 Binary Decoder Tabel Kebenaran x y z F F F 2 F 3 F 4 F 5 F 6 F 7 X Y Z 3-to-8 Decoder F F F2 F3 F4 F5 F6 F7 Rangkaian 3-to-8 Binary Decoder F = x'y'z' F = x'y'z F 2 = x'yz' F 3 = x'yz F 4 = xy'z' F 5 = xy'z F 6 = xyz' F 7 = xyz x y z 3

14 9/9/25 Encoding Adalah proses kebalikan dari Decoding Bila sebuah Decoder mempunyai bit-bit output lebih sedikit dari bit- bit input, perangkat seperti itu biasanya disebut sebagai Encoder N Masukan ENCODER M Keluaran (M<N) Saluran Masukan > Saluran Keluaran BINARY ENCODING Mengkonversi 2n input dan dikeluarkan ke dalam bentuk n bit output Banyak digunakan untuk kompresi data Dapat dibangun menggunakan AND atau OR Gate Jumlah masukan (input) > Jumlah Keluaran (Output) 2 n input Binary encoder n output 4

15 9/9/25 8-to-3 Binary Encoder Pada setiap (satu) waktu hanya ada input line yang mempunyai nilai Tabel Kebenaran Inputs Outputs I I I 2 I 3 I 4 I 5 I 6 I 7 y 2 y y Octal Input Y Y Y2 LSB MSB Blok Diagram Octal to Biner Encoder Binary output 29 Rangkaian 8-to-3 Binary Encoder I I y 2 = I 4 + I 5 + I 6 + I 7 I 2 I 3 y = I 2 + I 3 + I 6 + I 7 I 4 I 5 I 6 I 7 y = I + I 3 + I 5 + I 7 5

16 9/9/25 Berhenti di sini dulu ya, dilanjutkan di pertemuan selanjutnya! 6

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

DCH1B3 Konfigurasi Perangkat Keras Komputer

DCH1B3 Konfigurasi Perangkat Keras Komputer /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer Desain Rangkaian Logika Kombinasional /26/26 DCHB3 Konfigurasi Perangkat Keras Komputer /26/26 Inti pembelajaran Bisa merealisasikan persamaan Boolean

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 10 JUDUL PERCOBAAN : Half / Full Adder, Adder Subtractor KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

Karnaugh MAP (Bagian 1)

Karnaugh MAP (Bagian 1) Tahun kademik 2015/2016 Semester I DIG13 Konfigurasi Perangkat Keras Komputer Karnaugh MP (agian 1) Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

Tahun Akademik 2015/2016 Semester I. DIG1B3 Konfigurasi Perangkat Keras Komputer. Pertemuan 1: Representasi Data

Tahun Akademik 2015/2016 Semester I. DIG1B3 Konfigurasi Perangkat Keras Komputer. Pertemuan 1: Representasi Data Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Pertemuan 1: Representasi Data Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NO. PERCOBAAN : 10 KELAS/GROUP : TT3A/08 NAMA PRAKTIKAN : ADE ZASKIATUN NABILA NAMA PARTNER : -SEVTHIA NUGRAHA -SOCRATES PUTRA N TGL PERCOBAAN : 3 OKTOBER 2016 TGL PENYERAHAN

Lebih terperinci

RANGKAIAN PEMBANDING DAN PENJUMLAH

RANGKAIAN PEMBANDING DAN PENJUMLAH RANGKAIAN PEMBANDING DAN PENJUMLAH Gerbang-gerbang logika digunakan dalam peralatan digital dan sistem informasi digital untuk : a. mengendalikan aliran informasi, b. menyandi maupun menerjemahkan sandi

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Register dan Counter Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

Muhammad Adri Abstrak

Muhammad Adri  Abstrak Pengantar Arsitektur Komputer 4 Rangkaian Aritmatika Muhammad Adri mhd.adri@unp.ac.id http://muhammadadri.wordpress.com Abstrak Rangkaian aritmatika merupakan salah satu inti pembahasan dalam pengantar

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA SILABUS TEKNIK DIGITAL No. SIL/EKA/EKA239/22 Revisi : 00 Tgl: 21 Juni 2010 Hal 1 dari 5 MATA KULIAH : TEKNIK DIGITAL KODE MATA KULIAH : EKA 239 SEMESTER : 2 PROGRAM STUDI : PENDIDIKAN TEKNIK INFORMATIKA DOSEN PENGAMPU : UMI

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

RENCANA PEMBELAJARAN SEMESTER (RPS)

RENCANA PEMBELAJARAN SEMESTER (RPS) RENCANA PEMBELAJARAN SEMESTER (RPS) CSG2F3 SISTEM LOGIKA DIGITAL Disusun oleh: Erwid M. Jadied PROGRAM STUDI TEKNIK INFORMATIKA FAKULTAS INFORMATIKA UNIVERSITAS TELKOM LEMBAR PENGESAHAN Rencana Pembelajaran

Lebih terperinci

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA LOGIKA MESIN PENDAHULUAN Data dan instruksi ditransmisikan diantara berbagai bagian prosesor atau diantara prosesor dan periperal dgn menggunakan PULSE TRAIN. Berbagai tugas dijalankan dgn cara menyampaikan

Lebih terperinci

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian kombinasional aritmetika Ada 3 jenis Adder : Rangkaian Adder

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer MULTIPLEXER Pokok Bahasan :. Pendahuluan 2. Dasar-dasar rangkaian Multipleer. 3. Mendesain rangkaian Multipleer Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami rangkaian Multipleer.

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR KATA PENGANTAR Puji syukur kehadirat Tuhan Yang Maha Esa yang telah melimpahkan rahmat dankarunianya sehingga dapat menyelesaikan makalah elektronika mengenai encoder dandecoder.dalam pembuatan makalah

Lebih terperinci

PENDAHULUAN SISTEM DIGITAL

PENDAHULUAN SISTEM DIGITAL PENDAHULUAN SISTEM DIGITAL a. Representation of Logic Function Sejarah sampai terbentuknya Logic function Pada awalnya saat ingin membuat suatu rangkaian, komponen-komponen yang ada harus dirangkai, kemudian

Lebih terperinci

Pengenalan Sistem Bilangan Biner dan Gerbang Logika

Pengenalan Sistem Bilangan Biner dan Gerbang Logika Pengenalan Sistem Bilangan Biner dan Gerbang Logika Silabus Materi : Pengenalan Sistem Bilangan Biner dan Gerbang Logika Pada materi ini akan dikenalkan tentang sistem bilangan biner serta berbagai operasi

Lebih terperinci

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL

MODUL II DASAR DAN TERMINOLOGI SISTEM DIGITAL MOUL II ASAR AN TERMINOLOGI SISTEM IGITAL. Aljabar Boolean Aljabar Boolean memuat aturan-aturan umum (postulat) yang menyatakan hubungan antara input-input suatu rangkaian logika dengan output-outputnya.

Lebih terperinci

RANGKAIAN ARITMETIKA 3

RANGKAIAN ARITMETIKA 3 RANGKAIAN ARITMETIKA 3 Pokok Bahasan :. Bilangan biner bertanda (positif dan negatif) 2. Sistim st dan 2 s-complement 3. Rangkaian Aritmetika : Adder, Subtractor 4. Arithmetic/Logic Unit Tujuan Instruksional

Lebih terperinci

Berbagai Macam Bentuk Komputer

Berbagai Macam Bentuk Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Pertemuan 3: Pengantar Arsitektur Komputer Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Kuliah#11 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017 Kuliah#11 TKC205 Sistem Digital Eko Didik Widianto Departemen Teknik Sistem Komputer, Universitas Diponegoro 11 Maret 2017 http://didik.blog.undip.ac.id/buku/sistem-digital/ 1 Review Kuliah Di kuliah sebelumnya

Lebih terperinci

ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer

ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer ARITHMETIC & LOGICAL UNIT (ALU) Arsitektur Komputer PENDAHULUAN Empat metoda komputasi dasar yang dilakukan oleh ALU komputer : penjumlahan, pengurangan, perkalian, dan pembagian. Rangkaian ALU dasar terdiri

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK

BAB VI RANGKAIAN-RANGKAIAN ARITMETIK A VI RANGKAIAN-RANGKAIAN ARITMETIK Fungsi terpenting dari hampir semua computer dan kalkulator adalah melakukan operasi-operasi aritmetik. Operasi-operasi ini semuanya dilaksanakan di dalam unit aritmetik

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

BAB VI ENCODER DAN DECODER

BAB VI ENCODER DAN DECODER BAB VI ENCODER DAN DECODER 6.1. TUJUAN EKSPERIMEN Memahami prinsip kerja dari rangkaian Encoder Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Memahami prinsip kerja dari rangkaian Decoder

Lebih terperinci

Realisasi Rangkaian Kombinasional

Realisasi Rangkaian Kombinasional Realisasi Rangkaian Kombinasional a. XOR, Parity generator/checker Exclusive-OR atau XOR yang dinotasikan dengan symbol, adalah operator logika yang akan memberikan nilai 1 apabila x bernilai 1 atau apabila

Lebih terperinci

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : - - 98. Hari :

Lebih terperinci

OPERASI DALAM SISTEM BILANGAN

OPERASI DALAM SISTEM BILANGAN OPERASI DALAM SISTEM BILANGAN Pertemuan Kedua Teknik Digital Yus Natali, ST.,MT SISTEM BILANGAN Sistem bilangan adalah cara untuk mewaikili besaran dari suatu item fisik. Sistem bilangan yang banyak dipergunakan

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL

PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL PENGEMBANGAN MEDIA PEMBELAJARAN RANGKAIAN KOMBINASIONAL BERBASIS FLASH UNTUK MATA KULIAH TEKNIK DIGITAL SKRIPSI diajukan sebagai salah satu persyaratan untuk memperoleh gelar Sarjana Pendidikan Program

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer SAP-3 Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di lingkungan Telkom

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

Jobsheet Praktikum PARALEL ADDER

Jobsheet Praktikum PARALEL ADDER 1 PARALEL ADDER A. Tujuan Kegiatan Praktikum 3-4 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C )

Gambar 28 : contoh ekspresi beberapa logika dasar Tabel 3 : tabel kebenaran rangkaian gambar 28 A B C B.C Y = (A+B.C ) 5. RANGKAIAN KOMBINASIONAL Pada dasarnya rangkaian logika (digital) yang dibentuk dari beberapa gabungan komponen elektronik yang terdiri dari bermacam-macam Gate dan rangkaian-rangkaian lainnya, sehingga

Lebih terperinci

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi

Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia. Ceramah, diskusi dan Demonstrasi Prodi Pendidikan Ilmu Komputer Fakultas Keguruan dan Ilmu Pendidikan Universitas Ubudiyah Indonesia MATA KULIAH / KODE Elektronika Digital 3 SKS CAPAIAN PEMBELAJARAN: KODE MK PRASYARAT CSE 214 TEORI PRAKTIK

Lebih terperinci

Fakultas Teknologi Industri Universitas Gunadarma 2013

Fakultas Teknologi Industri Universitas Gunadarma 2013 Penyusun : 1. Imam Purwanto, S.Kom., MMSI 2. Ega Hegarini, S.Kom., MM 3. Rifki Amalia, S.Kom., MMSI 4. Arie Kusumawati, S.Kom. ebook REPRESENTASI DATA Fakultas Teknologi Industri Universitas Gunadarma

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit

Aljabar Boolean. IF2120 Matematika Diskrit. Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB. Rinaldi Munir - IF2120 Matematika Diskrit Aljabar Boolean IF22 Matematika Diskrit Oleh: Rinaldi Munir Program Studi Informatika, STEI-ITB Rinaldi Munir - IF22 Matematika Diskrit Pengantar Aljabar Boolean ditemukan oleh George Boole, pada tahun

Lebih terperinci

BAB I SISTEM BILANGAN DAN PENGKODEAN

BAB I SISTEM BILANGAN DAN PENGKODEAN BAB I SISTEM BILANGAN DAN PENGKODEAN I.. Sistem Bilangan Untuk memahami cara kerja komputer, kita membutuhkan konsep mengenai sistem bilangan dan sistem pengkodean (coding systems) karena adanya perbedaan

Lebih terperinci

SILABUS MATAKULIAH. Indikator Pokok Bahasan/Materi Aktivitas Pembelajaran

SILABUS MATAKULIAH. Indikator Pokok Bahasan/Materi Aktivitas Pembelajaran SILABUS MATAKULIAH Revisi : - Tanggal Berlaku : September 2014 A. Identitas 1. Nama Matakuliah : A11.54304/ Sistem Digital 2. Program Studi : Teknik Informatika-S1 3. Fakultas : Ilmu Komputer 4. Bobot

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran DISAIN DAN IMPLEMENTASI FULL ADDER DAN FULL SUBSTRACTOR SERIAL DATA KEDALAM IC FPGA SEBAGAI PERCEPATAN PERKALIAN MATRIKS DALAM OPERASI CITRA Drs. Lingga Hermanto, MM,. MMSI., 1 Shandi Aji Pusghiyanto 2

Lebih terperinci

Arithmatika Komputer. Pertemuan 3

Arithmatika Komputer. Pertemuan 3 Arithmatika Komputer Pertemuan 3 2.3. Aritmetika Integer Membahas operasi aritmetika (Sistem Komplemen Dua) Penjumlahan Pengurangan Perkalian Pembagian Penjumlahan dan Pengurangan Penambahan pada complement

Lebih terperinci

BAB II ARITMATIKA DAN PENGKODEAN

BAB II ARITMATIKA DAN PENGKODEAN TEKNIK DIGITAL/HAL. 8 BAB II ARITMATIKA DAN PENGKODEAN ARITMATIKA BINER Operasi aritmatika terhadap bilangan binari yang dilakukan oleh komputer di ALU terdiri dari 2 operasi yaitu operasi penambahan dan

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

SISTEM KONVERTER KODE DAN ADDER

SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER Disusun untuk melengkapi Tugas Elektronika kelas A Teknik Fisika - Fakultas Teknologi Industri - ITS Disusun oleh : Kelompok 1. Abu Hamam 2412 100 100 2. Moudy Azura

Lebih terperinci

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP)

PERCOBAAN 5. PENYEDERHANAAN RANGKAIAN LOGIKA (MENGGUNAKAN K-MAP) PERCOBN 5. PENYEDERHNN RNGKIN LOGIK (MENGGUNKN K-MP) TUJUN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Membuat sebuah rangkaian logika sederhana melalui persamaan Boolean dan Tabel

Lebih terperinci

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN

SEKOLAH TINGGI MANAJEMEN INFORMATIKA & KOMPUTER JAKARTA STI&K SATUAN ACARA PERKULIAHAN SEKOLAH TINGGI MANAJEMEN INFORMAA KOMPUTER JAKARTA STIK SATUAN ACARA PERKULIAHAN Mata : SISTEM DIGITAL Kode Mata : DK - 15303 Jurusan / Jenjang : S1 SISTEM KOMPUTER Tujuan Instruksional Umum : Setelah

Lebih terperinci

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S

ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S ALJABAR BOOLEAN R I R I I R A W A T I, M. K O M L O G I K A M A T E M A T I K A 3 S K S AGENDA SISTEM BILANGAN DESIMAL, BINER, OCTAL, HEXADESIMAL DEFINISI ALJABAR BOOLEAN TABEL KEBENARAN ALJABAR BOOLEAN

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808)

INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) INSTRUMENTASI INDUSTRI (NEKA421) JOBSHEET 14 (DAC 0808) I. TUJUAN 1. Mahasiswa dapat memahami karakteristik pengkondisi sinyal DAC 0808 2. Mahasiswa dapat merancang rangkaian pengkondisi sinyal DAC 0808

Lebih terperinci

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG

DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG DASAR-DASAR TEKNIK DIGITAL SEMESTER III PROGRAM STUDI TEKNIK LISTRIK JURUSAN ELEKTRO POLITEKNIK NEGERI MALANG OLEH : ANANG DASA NOVFOWAN NIP : 3 953 23 TUTWURI HANDAYAN I DEPARTEMEN PENDIDIKAN & KEBUDAYAAN

Lebih terperinci

RANGKAIAN LOGIKA DISKRIT

RANGKAIAN LOGIKA DISKRIT RANGKAIAN LOGIKA DISKRIT Materi 1. Gerbang Logika Dasar 2. Tabel Kebenaran 3. Analisa Pewaktuan GERBANG LOGIKA DASAR Gerbang Logika blok dasar untuk membentuk rangkaian elektronika digital Sebuah gerbang

Lebih terperinci

Pertemuan Ke-6 ARITMATIKA KOMPUTER

Pertemuan Ke-6 ARITMATIKA KOMPUTER Pertemuan Ke-6 ARITMATIKA KOMPUTER Pendahuluan Aritmetika komputer dibentuk dua jenis bilangan yang sangat berbeda integer dan floating point. Pada kedua jenis bilangan tersebut, pemilihan representasi

Lebih terperinci

MODUL 1 SISTEM BILANGAN

MODUL 1 SISTEM BILANGAN 1 MODUL 1 SISTEM BILANGAN A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN 1. Tema : Sistem Bilangan 2. Fokus Pembahasan Materi Pokok 3. Tujuan Kegiatan Pembelajaran B. URAIAN MATERI POKOK I. DEFINISI : 1. Teori

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

MULTIPLEKSER DAN DEMULTIPLEKSER

MULTIPLEKSER DAN DEMULTIPLEKSER MULTIPLEKSER DAN DEMULTIPLEKSER 1. Multiplekser Multiplexer (MUX) atau selector data adalah suatu rangkaian logika yang menerima beberapa input data, dan untuk suatu saat tertentu hanya mengizinkan satu

Lebih terperinci

Sistem Bilangan dan Pengkodean -2-

Sistem Bilangan dan Pengkodean -2- Sistem Digital Sistem Bilangan dan Pengkodean -2- Missa Lamsani Hal 1 Sistem Bilangan Bilangan Decimal Bilangan Biner Decimal -> biner Aritmatika Binar Komplemen 1 dan 2 Sign Bit Operasi aritmatik dengan

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

Organisasi Sistem Komputer

Organisasi Sistem Komputer Organisasi Sistem Komputer Materi III Binary Digit (Number System) Dr. Hary Budiarto Program Pasca Sarjana Universitas Putra Indonesia YPTK Padang Komputer Digital Hanya mengenal dua status (mis. ada /

Lebih terperinci

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014

Kuliah#9 TKC205 Sistem Digital - TA 2013/2014. Eko Didik Widianto. 21 Maret 2014 Kuliah#9 TKC205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro 21 Maret 2014 http://didik.blog.undip.ac.id 1 Review Kuliah Di kuliah sebelumnya dibahas tentang: Representasi

Lebih terperinci

Review Kuliah Sebelumnya

Review Kuliah Sebelumnya TEKNIK DIGITAL Review Kuliah Sebelumnya Konversikan Bilangan di Bawah ini 1. 89 10 = 16 2. 367 8 = 2 3. 11010 2 = 10 4. 7FD 16 = 8 5. 29A 16 = 10 6. 110111 2 = 8 7. 359 10 = 2 8. 472 8 = 16 Tujuan Perkuliahan

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer

Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Tahun Akademik 2015/2016 Semester I DIG1B3 Konfigurasi Perangkat Keras Komputer Memori Mohamad Dani (MHM) E-mail: mohamad.dani@gmail.com Hanya dipergunakan untuk kepentingan pengajaran di lingkungan Telkom

Lebih terperinci

MODUL PRAKTIKUM TEKNIK DIGITAL. Oleh Team Laboratorium

MODUL PRAKTIKUM TEKNIK DIGITAL. Oleh Team Laboratorium MODUL PRAKTIKUM TEKNIK DIGITAL Oleh Team Laboratorium JURUSAN TEKNIK KOMPUTER POLITEKNIK TMKM 2007 Modul Praktikum Teknik Digital 2 Tata Tertib Praktikum PEMAKAIAN LABORATORIUM 1. Yang diperbolehkan menggunakan

Lebih terperinci

PRAKTIKUM TEKNIK DIGITAL

PRAKTIKUM TEKNIK DIGITAL MODUL PRAKTIKUM TEKNIK DIGITAL PROGRAM STUDI S1 TEKNIK INFORMATIKA ST3 TELKOM PURWOKERTO 2015 A. Standar Kompetensi MODUL I ALJABAR BOOLE DAN RANGKAIAN KOMBINASIONAL Mata Kuliah Semester : Praktikum Teknik

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Representasi Bilangan dan Operasi Aritmatika Eko Didik Widianto (didik@undip.ac.id) Sistem Komputer - Universitas Diponegoro @2011 eko didik widianto (http://didik.blog.undip.ac.id) TSK205 Sistem Digital

Lebih terperinci

8/4/2011. Microprocessor & Microcontroller Programming. Sistem Bilangan. Sistem Bilangan. Sistem Bilangan. Sistem Bilangan

8/4/2011. Microprocessor & Microcontroller Programming. Sistem Bilangan. Sistem Bilangan. Sistem Bilangan. Sistem Bilangan Microprocessor & Microcontroller Programming FORMAT BILANGAN DALAM MIKROPROSESOR FORMAT BILANGAN DALAM MIKROPROSESOR Mikroprosesor sebagai bagian dari sistem digital bekerja dalam format biner. Di dalam

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

TEKNIK PENGOLAHAN CITRA. Kuliah 13 Kompresi Citra. Indah Susilawati, S.T., M.Eng.

TEKNIK PENGOLAHAN CITRA. Kuliah 13 Kompresi Citra. Indah Susilawati, S.T., M.Eng. TEKNIK PENGOLAHAN CITRA Kuliah 13 Kompresi Citra Indah Susilawati, S.T., M.Eng. Program Studi Teknik Informatika/Sistem Informasi Fakultas Teknologi Informasi Universitas Mercu Buana Yogyakarta 2015 KULIAH

Lebih terperinci

BAB II SISTEM BILANGAN DAN KODE BILANGAN

BAB II SISTEM BILANGAN DAN KODE BILANGAN BAB II SISTEM BILANGAN DAN KODE BILANGAN 2.1 Pendahuluan Komputer dan sistem digital lainnya mempunyai fungsi utama mengolah informasi. Sehingga diperlukan metode-metode dan sistem-sistem untuk merepresentasikan

Lebih terperinci

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++

PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Dielektrika, ISSN 2086-9487 151 Vol. 2, No. 2 : 151-163, Agustus 2015 PERANCANGAN SIMULATOR RANGKAIAN LOGIKA DENGAN VISUAL C++ Simulator Design Of Digital Logic Gate Using Visual C++ Multazamar Jan1 1,

Lebih terperinci

DIKTAT SISTEM DIGITAL

DIKTAT SISTEM DIGITAL DIKTAT SISTEM DIGITAL Di Susun Oleh: Yulianingsih Fitriana Destiawati UNIVERSITAS INDRAPRASTA PGRI JAKARTA 2013 DAFTAR ISI BAB 1. SISTEM DIGITAL A. Teori Sistem Digital B. Teori Sistem Bilangan BAB 2.

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci