PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999

Ukuran: px
Mulai penontonan dengan halaman:

Download "PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI. Th Akd. 1998/1999"

Transkripsi

1 PRAKTIKUM RANGKAIAN LOGIKA PERCOBAAN 2 & 3 LABORATORIUM KOMPUTER JURUSAN TEKNIK ELEKTRO F.T.I. USAKTI Th Akd. 1998/1999 Nama Praktikan :... Nomor Induk :... Kelas : Jadual Percobaan 1 : Hari : Jam Praktikum : /11.00 / Tanggal terima tugas: Jam : I / II / III Saudara diminta untuk menyelesaikan soal dibawah ini, dengan ketentuan sebagai berikut: Percobaan 2. Saudara diminta untuk menyelesaikan permasalahan menggunakan I.C. TTL dengan type sbb: yang masing masing berisi 4 buah NAND gate 2 input dengan posisi GATE seperti pada gambar yang masing masing berisi 6 buah NOT gate yang dengan posisi GATE seperti pada gambar yang masing masing berisi 3 buah NAND gate 3 input dengan posisi GATE seperti pada gambar yang masing masing berisi 2 buah NAND gate 4 input dengan posisi GATE seperti pada gambar. 5. Rakit rangkaian saudara pada papan prototype ( Proto Board ) dan berilah LED sebagai indikator logik pada input maupun output sesuai dengan masalah Saudara. Percobaan 3 Percobaan 3 dilakukan dalam satu regu, untuk merancang rangkaian dengan menggunakan komponen I.C. Programable Logic Device / Programable Logic Array /Programable Array Logic / Programable Gate Array (PLD/PLA/PAL/PGA) type TIB.PAL.16L8 atau jenis lain yang dapat diprogram oleh Universal Programer yang ada di Lab Komputer. Permasalahan yang harus saudara selesaikan adalah sebagai berikut:

2 1.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai PARITY BIT GENERATOR untuk data sebanyak 4 bit dengan cara kerja sebagai berikut: Jika input data yang berlogika 1 jumlahnya genap, maka output rangkaian berlogika 0 dan sebaliknya, jika input data yang berlogika 1 jumlahnya ganjil, maka output rangkaian berlogika Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai rangkaian PENJUMLAH 2 buah bilangan biner yang masing masing terdiri dari 2 bit, dengan format sebagai berikut: Bilangan I : A = A 1 A 0 Bilangan II : B = B 1 B 0 + Hasil penjumlahan : S = S 2 S 1 S 0 3. Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk membandingkan 2 buah bilangan biner yang masing masing terdiri dari 2 bit, dengan format sebagai berikut: Bilangan I : A = A1 A0 Bilangan II : B = B1 B0 Output Rangkaian terdiri dari 3 variabel ( L B, S, L K ) yang masing masing akan berharga logika 1 dengan ketentuan sebagai berikut: L B = 1 jika bilangan A > B, untuk keadaan yang lain out put = 0 S = 1 jika bilangan A = B, untuk keadaan yang lain out put = 0 L K = 1 jika bilangan A < B, untuk keadaan yang lain out put = 0 4. Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 4 bit. Rakitlah rangkaian yang berfungsi sebagai berikut: Jika 2 buah input dari ke empat input yang ada memiliki logika 1, maka output rangkaian yang harus saudara buat berharga logika 1. Untuk kondisi lain harga output berlogika Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 4 bit. Rakitlah rangkaian yang memiliki 2 buah output. Kedua output jika dibaca secara biner akan menyatakan hitungan jumlah logika 1 yang terdapat pada input. 6. Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 4 bit. Rakitlah rangkaian yang memiliki 2 buah output. Kedua output jika dibaca secara biner akan menyatakan hitungan jumlah logika 0 yang terdapat pada input. 7. Tugas yang harus saudara selesaikan adalah : Buatlah rangkaian yang berfungsi untuk membentuk rangkaian XNOR 4 input 8. Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 4 bit. Rakitlah rangkaian yang berfungsi sebagai berikut: Jika 2 buah input dari ke empat input yang ada memiliki logika 0, maka output rangkaian yang harus saudara buat berharga logika 1. Untuk kondisi lain harga output berlogika Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 2 bit. Kedua input ini dipergunakan untuk mengatur motor dc. Keadaan Motor dc sesuai dengan 2 buah output rangkaian saudara. Jika output rangkaian memiliki harga logika yang sama maka motor dc yang saudara atur berhenti (Stop). Jika ke 2 buah output rangkaian mengeluarkan logika yang berbeda, motor berputar kearah kiri ( output 1= 0 dan output 2 =1) dan sebaliknya, kearah kanan 1atau kanan ( output 1= 1 dan output 2 = 0). Input 1 diberi nama Run/Stop ( Input 1 = 1 motor berputar sesuai dengan arah pada input 2, Jika Input 1 = 0 maka motor berhenti). Sedang input 2 diberi nama Kanan/Kiri ( input 2 = 1 maka motor berputar kekanan, = 0 maka motor berputar kekiri). 10.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai PARITY BIT GENERATOR untuk data sebanyak 4 bit dengan cara kerja sebagai berikut: Jika input data yang berlogika 1 jumlahnya genap, maka output rangkaian berlogika 1 dan sebaliknya, jika input data yang berlogika 1 jumlahnya ganjil, maka output rangkaian berlogika Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai rangkaian biner ke decimal decoder. Jumlah variabel input 2 buah, output sebanyak 4 buah. dengan cara kerja sebagai berikut: Output 1 berharga logika 1 dan yang lain berharga logika 0 jika input 1= 0 dan input 2 = 0 Output 2 berharga logika 1 dan yang lain berharga logika 0 jika input 1= 0 dan input 2 = 1 Output 3 berharga logika 1 dan yang lain berharga logika 0 jika input 1= 1 dan input 2 = 0 Output 4 berharga logika 1 dan yang lain berharga logika 0 jika input 1= 1 dan input 2 = 1 12.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian Priority Encoder, dengan input 4 buah dan output 2 buah Cara kerja rangkaian adalah sebagai berikut: Input 4 = 1, input 3 = 1/0, input 2 = 1/0, input 1 = 1/0, maka output 1 = 1 dan output 2 = 1 Input 4 = 0, input 3 = 1, input 2 = 1/0, input 1 = 1/0, maka output 1 = 1 dan output 2 = 0 Input 4 = 0, input 3 = 0, input 2 = 1, input 1 = 1/0, maka output 1 = 0 dan output 2 = 1 Input 4 = 0, input 3 = 0, input 2 = 0, input 1 = 1/0, maka output 1 = 0 dan output 2 = 0

3 ou tp u t1 ou tp u t2 t1 t2 13.Tugas yang harus saudara selesaikan adalah : Buatlah rangkaian yang berfungsi untuk membentuk rangkaian XOR 4 input 14.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi kode BINER 3 bit ke kode GREY 3 bit, dengan cara kerja sebagai berikut: Jika kode BINER adalah B 2 B 1 B 0 maka kode GREY adalah G 2 G 1 G 0. Metode konversi mempergunakan pola sebagai berikut: G 2 = B 3 + B 2 ; G 1 = B 2 + B 1 ; G 0 = B 1 + B 0 15.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai rangkaian demultiplexer, yang dapat dijelaskan seperti pada gambar berikut: Selektor mekanik pada gambar kiri, digantikan dengan selektor 0 (S 0 ) dan Selektor 1 (S 1 ) pada gambar kanan. 16.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi sebagai rangkaian Multiplekser, yang dapat dijelaskan seperti pada gambar berikut: Selektor mekanik pada gambar kiri, digantikan dengan selektor 0 (S 0 ) dan Selektor 1 (S 1 ) pada gambar kanan. 17.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi kode GREY 3 bit ke kode BINER 3 bit, dengan cara kerja sebagai berikut: Jika kode BINER adalah B 2 B 1 B 0 maka kode GREY adalah G 2 G 1 G 0. Metode konversi mempergunakan pola sebagai berikut: B 2 = B 3 + G 2 ; B 1 = B 2 + G 1 ; B 0 = B 1 + G 0 18.Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi Binary Code Decimal(BCD) ke kode excess 3. Nilai biner input jika dibaca secara decimal memiliki harga 10 menghasilkan output keluaran kode excess 3 = Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi kode excess 3 ke Binary Code Decimal (BCD). Nilai biner input jika dibaca secara decimal memiliki harga 2 atau 13 menghasilkan output keluaran kode biner = Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi Binary Code Decimal(BCD) ke kode excess 3. Nilai biner input jika dibaca secara decimal memiliki harga 10 menghasilkan output keluaran kode excess 3 = Tugas yang harus saudara selesaikan adalah : Rakitlah rangkaian yang berfungsi untuk mengkonversi kode excess 3 ke Binary Code Decimal (BCD). Nilai biner input jika dibaca secara decimal memiliki harga 2 atau 13 menghasilkan output keluaran kode biner = Tugas yang harus saudara selesaikan adalah : Sebuah rumah masa depan mempunyai sebuah lampu ruangan yang dapat nyala dan padam secara otomatis. Lampu akan menyala bila : - malam hari dan pemilik rumah ada di rumah, - siang hari, bila cuaca hujan dan pemilik rumah tidak ada di rumah, - siang hari, bila pemilik rumah ada di rumah dan didalam ruangan yang terdapat lampu tersebut. Lampu akan padam, bila tidak memenuhi persyaratan diatas. Tugas Saudara adalah rakitlah rangkaian yang membuat lampu dapat memenuhi keadaan diatas. 23.Tugas yang harus saudara selesaikan adalah : Buatlah rangkaian yang berfungsi sebagai rangkaian pengali 2 buah bilangan biner yang masing-masing terdiri dari 2 bit dan output dari perkalian tersebut terdiri dari 4 bit. Petunjuk : Bilangan I: A = A1 A0 Bilangan II: B = B1 B0 X Hasil Perkalian : Y = Y3Y2Y1Y0 24.Tugas yang harus saudara selesaikan adalah : Buatlah rangkaian yang berfungsi untuk menentukan keluaran dari 4 buah input ( A, B, C dan D ) yang sedang berada dalam keadaan : ( A + B ). C + ( C + D ) 25.Tugas yang harus saudara selesaikan adalah : Rancanglah sebuah sistem pengaman brankas di suatu bank dengan ketentuan sebagai berikut :

4 - Brankas diamankan dengan 4 buah kunci, - tedapat 4 orang pemegang kunci, - untuk membuka brankas minimal harus ada 3 orang yang memutar kunci secara bersamaan, - kunci tidak akan terbuka bila hanya 1 atau 2 orang saja yang memutar kunci, - jika kunci dirusak dan kemudian pintu dibuka, maka alarm akan berbunyi. Catatan : Pada pintu brankas terdapat sensor yang akan mendeteksi pintu dalam keadaan terbuka atau tertutup. 26.Tugas yang harus saudara selesaikan adalah : Sebuah gedung bertingkat 2 memiliki 4 buah lampu ( A, B, C dan D ). Keempat lampu tersebut dikontrol oleh 4 buah switch ( I, II, III dan IV ). Lampu A dan B berada pada tingkat 1, sedangkan sisanya berada di lantai 2. Lampu-lampu tersebut akan menyala dengan ketentuan sbb: - Semua lampu pada setiap tingkat akan menyala jika kondisi switch I = ON, - semua lampu pada salah satu lantai akan menyala jika kondisi switch I = OFF, - pada kondisi switch I = OFF, switch II akan mengatur lantai mana yang musti menyala ( kedua lampunya ), - switch III akan mengatur kedua lampu atau hanya satu lampu yang musti menyala pada lantai yang dipilih oleh switch II, - switch IV akan mengatur lampu mana yang musti menyala jika swich III diatur untuk menyalakan satu lampu. Tugas Saudara adalah rancanglah rangkaian yang membuat keempat switch diatas dapat memenuhi ketentuan diatas. 27.Tugas yang harus saudara selesaikan adalah : Kepada Saudara diberikan 4 buah input ( A, B, C dan D ) dan 2 buah output ( X dan Y ). Rakitlah rangkaian yang berfungsi seperti berikut : - Jika D = 1, C = 1/ 0, B = 1/ 0, A = 1/ 0, maka X = 1 dan Y = 1. - Jika D = 0, C = 1, B = 1 / 0, A = 1/ 0, maka X = 1 dan Y = 0. - Jika D = 0, C = 0, B = 1, A = 1/ 0, maka X = 0 dan Y = 1. - Jika D = 0, C = 0, B = 0, A = 1 / 0, maka X = 0 dan Y = Tugas yang harus saudara selesaikan adalah : Empat buah input dan 1 buah output Saudara miliki. Jika dari keempat input saudara berharga logika 0, maka output saudara berharga logika 1dan jika dari keempat input Saudara hanya dua yang berharga logika 0, maka juga output Saudara berharga logika 1. Tugas Saudara adalah buatlah rangkaian yang memenuhi kondisi diatas. 29.Tugas yang harus saudara selesaikan adalah : Input A, B, C dan D serta Output Y diberikan kepada Saudara. Dari keempat input dan satu output tersebut, Saudara diminta untuk merealisasikan persamaan dibawah ini ke dalam bentuk suatu rangkaian. Y = ( A.B + C.D ) + ( B.C + D ) 30.Tugas yang harus saudara selesaikan adalah : Input A, B, C dan D serta Output Y diberikan kepada Saudara. Dari keempat input dan satu output tersebut, Saudara diminta untuk merealisasikan persamaan dibawah ini ke dalam bentuk suatu rangkaian. Y = ( A.B + C ) + ( B.C + C.D ) 31.Tugas yang harus saudara selesaikan adalah : Saudara memiliki input rangkaian yang terdiri dari 4 bit. Buatlah rangkaian yang berfungsi untuk mengetahui banyaknya logika yang berharga 1 dari keempat bit tersebut. 32.Tugas yang harus saudara selesaikan adalah : Rakitlah suatu rangkaian dengan menggunakan GATE yang telah ditentukan untuk membuat suatu fungsi XOR dengan 4 input.

5 33.Tugas yang harus saudara selesaikan adalah : Rancanglah suatu rangkaian yang terdiri dari 4 input dan 1 output yang berfungsi sebagai berikut : - Jika harga logika 1dari input berjumlah genap, maka outputnya berharga logika 1, - jika harga logika 0 dari input berjumlah ganjil, maka outputnya berharga logika Tugas yang harus saudara selesaikan adalah : Buatlah sebuah decoder biner to seven segment dengan ketentuan sebagai berikut: - Biner 4 bit ditambah 1 input enable, - Output pada seven segment common anoda type DA a 1 untuk f f b 2 untuk g 3 untuk com 4 untuk b e c 5 untuk a 6 untuk e d 7 untuk h untuk com 9 untuk d 10 untuk c

6 Usulan soal praktikum percobaan III. 1. decimal to binary encoder 10 input ke 4 bit biner + 1 output indikator ada input = 0 2. decimal to binary encoder 10 input ke 4 bit biner + 1 output indikator ada input = 1 3. binary to 7 segment decoder ( 7 segment menunjukan 15 simbol + simbol blank) common + 4. binary to 7 segment decoder ( 7 segment menunjukan 15 simbol + simbol blank) common - 5. binary 5 digit to upper half 16 segment ( 9 segment atas ) common + 6. binary 5 digit to lower half 16 segment ( 7 segment bawah + dot ) common + 7. binary 5 digit to upper half 16 segment ( 9 segment atas ) common - 8. binary 5 digit to lower half 16 segment ( 7 segment bawah + dot ) common - 9. bcd to decimal decoder (kondisi awal seluruh input =1, output seluruhnya =0) output =1 10. bcd to decimal decoder (kondisi awal seluruh input =1, output seluruhnya =1) output =0 11. cascade 3 to 8 addres decoder dengan input enable = 0 output =0 12. cascade 3 to 8 addres decoder dengan input enable = 0 output =1 13. cascade 3 to 8 addres decoder dengan input enable = 1 output =0 14. cascade 3 to 8 addres decoder dengan input enable = 1 output =0 15. priority encoder 16. multiplexer 8 to demultiplexer 1 to bit full adder (cascadeable) bit comparator (cascadeable) 20. Perlu diperhatikan apakah masih ada soal lama yang dapat di sertakan disini. catatan : Satu soal dapat dikerjakan oleh 4 s/d 6 mahasiswa secara berkelompok dengan pembagian tugas dan pemantauan yang cermat, agar setiap mahasiswa mengerjakan masing masing tugas pendahuluan secara terpisah. Setelah itu mereka dipertemukan dalam kelompok untuk secara bersama sama mengerjakan tugas memprogramkan ke IC. PAL. contoh : Sebuah soal diatas dibagi dalam beberapa soal yang masing masing telah diberi kode sehingga memudahkan mengumpulkan praktikan yang akan menyelesaikan satu soal secara keseluruhan. Masing - masing mahasiswa wajib menyelesaikan tugasnya tanpa tahu rekan sekelompoknya, dan melaporkan hasil pembuatan program secara tertulis / print out dan dalam diskette berisi data CUPL lengkap beserta uji coba meng compile dalam *. JED ( Persiapan masing masing untuk dituliskan dalam IC PAL. Tahap berikut, mereka wajib menggabungkan hasil masing masing dalam satu file dan secara bersama sama menganalisa hasil penggabungan nya agar dapat di programkan ke IC. PAL.

MODUL I GERBANG LOGIKA

MODUL I GERBANG LOGIKA MODUL PRAKTIKUM ELEKTRONIKA DIGITAL 1 MODUL I GERBANG LOGIKA Dalam elektronika digital sering kita lihat gerbang-gerbang logika. Gerbang tersebut merupakan rangkaian dengan satu atau lebih dari satu sinyal

Lebih terperinci

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop

1. FLIP-FLOP. 1. RS Flip-Flop. 2. CRS Flip-Flop. 3. D Flip-Flop. 4. T Flip-Flop. 5. J-K Flip-Flop. ad 1. RS Flip-Flop 1. FLIP-FLOP Flip-flop adalah keluarga Multivibrator yang mempunyai dua keadaaan stabil atau disebut Bistobil Multivibrator. Rangkaian flip-flop mempunyai sifat sekuensial karena sistem kerjanya diatur

Lebih terperinci

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder

DECODER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder DECODER Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Decoder. 3. Mendesain rangkaian Decoder Tujuan Instruksional Khusus : 1. Mahasiswa dapat menerangkan dan memahami rangkaian Decoder. 2. Mahasiswa

Lebih terperinci

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer MULTIPLEXER Pokok Bahasan :. Pendahuluan 2. Dasar-dasar rangkaian Multipleer. 3. Mendesain rangkaian Multipleer Tujuan Instruksional Khusus :. Mahasiswa dapat menerangkan dan memahami rangkaian Multipleer.

Lebih terperinci

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR

PERCOBAAN 11. CODE CONVERTER DAN COMPARATOR PERCOBAAN 11. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami prinsip kerja rangkaian Converter dan Comparator Mendisain beberapa jenis rangkaian Converter dan Comparator

Lebih terperinci

Modul 3 : Rangkaian Kombinasional 1

Modul 3 : Rangkaian Kombinasional 1 Fakultas Ilmu Terapan, Universitas Telkom 1 Modul 3 : Rangkaian Kombinasional 1 3.1 Tujuan Mahasiswa mampu mengetahui cara kerja decoder dengan IC, dan membuat rangkaiannya. 3.2 Alat & Bahan 1. IC Gerbang

Lebih terperinci

BAB IX RANGKAIAN PEMROSES DATA

BAB IX RANGKAIAN PEMROSES DATA BAB IX RANGKAIAN PEMROSES DATA 9.1 MULTIPLEXER Multiplexer adalah suatu rangkaian yang mempunyai banyak input dan hanya mempunyai satu output. Dengan menggunakan selector, dapat dipilih salah satu inputnya

Lebih terperinci

BAB I : APLIKASI GERBANG LOGIKA

BAB I : APLIKASI GERBANG LOGIKA BAB I : APLIKASI GERBANG LOGIKA Salah satu jenis IC dekoder yang umum di pakai adalah 74138, karena IC ini mempunyai 3 input biner dan 8 output line, di mana nilai output adalah 1 untuk salah satu dari

Lebih terperinci

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T.

PRAKTIKUM 2 DECODER-ENCODER. JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. PRAKTIKUM 2 DECODER-ENCODER JOBSHEET UNTUK MEMENUHI TUGAS MATA KULIAH Digital dan Mikroprosesor Yang dibina oleh Drs. Suwasono, M.T. Nama : Fachryzal Candra Trisnawan NIM : 160533611466 Prog. Studi - Off

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL No. LST/PTI/PTI6205/04 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 1. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat berinteraksi

Lebih terperinci

BAB V UNTAI NALAR KOMBINATORIAL

BAB V UNTAI NALAR KOMBINATORIAL TEKNIK DIGITAL-UNTAI NALAR KOMBINATORIAL/HAL. BAB V UNTAI NALAR KOMBINATORIAL Sistem nalar kombinatorial adalah sistem nalar yang keluaran dari untai nalarnya pada suatu saat hanya tergantung pada harga

Lebih terperinci

BAB VI ENCODER DAN DECODER

BAB VI ENCODER DAN DECODER BAB VI ENCODER DAN DECODER 6.1. TUJUAN EKSPERIMEN Memahami prinsip kerja dari rangkaian Encoder Membedakan prinsip kerja rangkaian Encoder dan Priority Encoder Memahami prinsip kerja dari rangkaian Decoder

Lebih terperinci

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang 1 BAB I PENDAHULUAN 1.1 Latar Belakang Sistem digital merupakan salah satu sistem yang digunakan dalam pemrosesan sinyal atau data. Sebelum dimulainya era digital, pemrosesan sinyal atau data dilakukan

Lebih terperinci

Jobsheet Praktikum DECODER

Jobsheet Praktikum DECODER 1 DECODER A. Tujuan Kegiatan Praktikum 6 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian DECODER. 2) Mengetahui karakteristik rangkaian DECODER. B. Dasar Teori Kegiatan

Lebih terperinci

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto

Rangkaian Digital Kombinasional. S1 Informatika ST3 Telkom Purwokerto Rangkaian Digital Kombinasional S1 Informatika ST3 Telkom Purwokerto Logika kombinasi Comparator Penjumlah Biner Multiplexer Demultiplexer Decoder Comparator Equality Non Equality Comparator Non Equality

Lebih terperinci

BAB VI RANGKAIAN KOMBINASI

BAB VI RANGKAIAN KOMBINASI BAB VI RANGKAIAN KOMBINASI Di dalam perencanaan rangkaian kombinasi, terdapat beberapa langkah prosedur yang harus dijalani, yaitu :. Pernyataan masalah yang direncanakan 2. Penetapan banyaknya variabel

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06

LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EK2A/04 ARIF NUR MAJID EK2A/05 AULIADI SIGIT H EK2A/06 LAPORAN PRAKTIKUM DIGITAL DISUSUN OLEH: ARDITYA HIMAWAN EKA/0 ARIF NUR MAJID EKA/0 AULIADI SIGIT H EKA/0 POLITEKNIK NEGERI SEMARANG 009 PERCOBAAN JUDUL : MONOSTABLE MULTIVIBRATOR(ONE SHOT) TUJUAN :. Mahasiswa

Lebih terperinci

BAB IV : RANGKAIAN LOGIKA

BAB IV : RANGKAIAN LOGIKA BAB IV : RANGKAIAN LOGIKA 1. Gerbang AND, OR dan NOT Gerbang Logika adalah rangkaian dengan satu atau lebih dari satu sinyal masukan tetapi hanya menghasilkan satu sinyal berupa tegangan tinggi atau tegangan

Lebih terperinci

Jobsheet Praktikum PARALEL ADDER

Jobsheet Praktikum PARALEL ADDER 1 PARALEL ADDER A. Tujuan Kegiatan Praktikum 3-4 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : 1) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

MODUL I PENGENALAN ALAT

MODUL I PENGENALAN ALAT MODUL PRAKTIKUM SISTEM DIGITAL 1 I. DASAR TEORI 1. Konsep Dasar Breadboard MODUL I PENGENALAN ALAT Breadboard digunakan untuk mengujian dan eksperimen rangkaian elektronika. Breadboard sangat baik sekali

Lebih terperinci

Sistem. Bab 6: Combinational 09/01/2018. Bagian

Sistem. Bab 6: Combinational 09/01/2018. Bagian Sistem ab 6: Combinational Prio Handoko, S. Kom., M.T.I. agian Capaian Pembelajaran Mahasiswa mampu menjelaskan prinsip kerja rangkaian logika kombinasional ADDER, SUSTRACTOR. Mahasiswa mampu menjelaskan

Lebih terperinci

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami Laporan Praktikum Gerbang Logika Dasar Mata Kuliah Teknik Digital Dosen pengampu : Pipit Utami Oeh : Aulia Rosiana Widiardhani 13520241044 Kelas F1 Pendidikan Teknik Informatika Fakultas Teknik Universitas

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NOMOR PERCOBAAN : 10 JUDUL PERCOBAAN : Half / Full Adder, Adder Subtractor KELAS / GROUP : Telkom 2-A / 6 NAMA PRAKTIKAN : 1. Nur Aminah (Penanggung Jawab) 2. M. Aditya Prasetyadin

Lebih terperinci

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL

ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL ARITMATIKA ARSKOM DAN RANGKAIAN DIGITAL Oleh : Kelompok 3 I Gede Nuharta Negara (1005021101) Kadek Dwipayana (1005021106) I Ketut Hadi Putra Santosa (1005021122) Sang Nyoman Suka Wardana (1005021114) I

Lebih terperinci

Comparator, Parity Generator, Converter, Decoder

Comparator, Parity Generator, Converter, Decoder Comparator, Parity Generator, Converter, Decoder Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom Oktober 2015 Bahan Presentasi

Lebih terperinci

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1.

Dari tabel kebenaran half adder, diperoleh rangkaian half adder sesuai gambar 4.1. PERCOBAAN DIGITAL 03 PENJUMLAH (ADDER) 3.1. TUJUAN PERCOBAAN Mahasiswa mengenal, mengerti, dan memahami: 1. Operasi half adder dan full adder. 2. Operasi penjumlahan dan pengurangan biner 4 bit. 3.2. TEORI

Lebih terperinci

LAPORAN PRAKTIKUM DIGITAL

LAPORAN PRAKTIKUM DIGITAL LAPORAN PRAKTIKUM DIGITAL NO. PERCOBAAN : 10 KELAS/GROUP : TT3A/08 NAMA PRAKTIKAN : ADE ZASKIATUN NABILA NAMA PARTNER : -SEVTHIA NUGRAHA -SOCRATES PUTRA N TGL PERCOBAAN : 3 OKTOBER 2016 TGL PENYERAHAN

Lebih terperinci

Rangkaian Kombinasional

Rangkaian Kombinasional 9/9/25 Tahun Akademik 25/26 Semester I DIGB3 Konfigurasi Perangkat Keras Komputer Rangkaian Kombinasional Mohamad Dani (MHM) E-mail: mohamaddani@gmailcom Hanya dipergunakan untuk kepentingan pengajaran

Lebih terperinci

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA Eko Mardianto 1, Mohd Ilyas Hadikusuma 2 1,2 Program Studi Teknik Elektronika Jurusan Teknik Elektro

Lebih terperinci

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC

Dari tabel diatas dapat dibuat persamaan boolean sebagai berikut : Dengan menggunakan peta karnaugh, Cy dapat diserhanakan menjadi : Cy = AB + AC + BC 4. ALU 4.1. ALU (Arithmetic and Logic Unit) Unit Aritmetika dan Logika merupakan bagian pengolah bilangan dari sebuah komputer. Di dalam operasi aritmetika ini sendiri terdiri dari berbagai macam operasi

Lebih terperinci

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #1 DASAR RANGKAIAN DIGITAL LAB #1 DASAR RANGKAIAN DIGITAL TUJUAN 1. Untuk mempelajari operasi dari gerbang logika dasar. 2. Untuk membangun rangkaian logika dari persamaan Boolean. 3. Untuk memperkenalkan beberapa konsep dasar dan

Lebih terperinci

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER. Sabran 1*, Muliadi 2 1,2 Dosen PTA FT Universitas Negeri Makassar * sabran_fh66@yahoo.com ABSTRAK Penelitian

Lebih terperinci

Rangkaian Adder dengan Seven Segment

Rangkaian Adder dengan Seven Segment Rangkaian Adder dengan Seven Segment Diajukan untuk memenuhi kelulusan mata kuliah Teknik Rangkaian Terintegrasi Dosen : Ni matul Ma muriyah, M.Eng Disusun oleh: Thursy Rienda Aulia Satriani (1221009)

Lebih terperinci

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan

Gerbang AND Gerbang OR Gerbang NOT UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI. I. Tujuan I. Tujuan UNIT I GERBANG LOGIKA DASAR DAN KOMBINASI 1. Dapat membuat rangkaian kombinasi dan gerbang logika dasar 2. Memahami cara kerja dari gerbang logika dasar dan kombinasi 3. Dapat membuat table kebenaran

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Rangkaian Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa :

Lebih terperinci

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL

PERTEMUAN 9 RANGKAIAN KOMBINASIONAL PERTEMUAN 9 RANGKAIAN KOMBINASIONAL Sasaran Pertemuan 9 Mahasiswa diharapkan mengerti tentang Rangkaian Kombinasional yang terdiri dari : - Multiplexer - Demultiplexer - Decoder - Encoder - Seven Segment

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test Mahasiswa : Mendengarkan,

Lebih terperinci

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK

SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK SATUAN ACARA PERKULIAHAN Mata Kuliah : Sistem Digital A Kode : KK-045329 Proses Belajar Mengajar Media : Evaluasi : Dosen : Menjelaskan, Memberi contoh, Diskusi, Memberi tugas * Papan Tulis * Hasil Test

Lebih terperinci

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY Percobaan 4 PENGUBAH SANDI BCD KE PERAGA 7-SEGMEN Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY E-mail : sumarna@uny.ac.id Tujuan : 1. Mengenal cara kerja dari peraga 7-segmen 2. Mengenal cara kerja rangkaian

Lebih terperinci

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Volume 10 No 1, April 2017 Hlm. 44-50 ISSN 0216-9495 (Print) ISSN 2502-5325 (Online) Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447 Teguh Arifianto Program Studi Teknik

Lebih terperinci

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR

Semarang, 10 Oktober Hormat Kami. Penulis KATA PENGANTAR KATA PENGANTAR Puji syukur kehadirat Tuhan Yang Maha Esa yang telah melimpahkan rahmat dankarunianya sehingga dapat menyelesaikan makalah elektronika mengenai encoder dandecoder.dalam pembuatan makalah

Lebih terperinci

Gambar 4.1. Rangkaian Dasar MUX.

Gambar 4.1. Rangkaian Dasar MUX. PERCOBAAN DIGITAL 4 MULTIPLEXER DAN DEMULTIPLEXER 4.. TUJUAN PERCOBAAN. Mengenal, mengerti, dan memahami cara kerja Multiplekser dan Demultiplekser.. Mengenal berbagai macam rangkaian terintegrasi Multiplekser

Lebih terperinci

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1 TEORI DASAR DIGITAL Leterature : (1) Frank D. Petruzella, Essentals of Electronics, Singapore,McGrraw-Hill Book Co, 1993, Chapter 41 (2) Ralph J. Smith, Circuit, Devices, and System, Fourth Edition, California,

Lebih terperinci

PRAKTIKUM RANGKAIAN DIGITAL

PRAKTIKUM RANGKAIAN DIGITAL PRAKTIKUM RANGKAIAN DIGITAL RANGKAIAN LOGIKA TUJUAN 1. Memahami berbagai kombinasi logika AND, OR, NAND atau NOR untuk mendapatkan gerbang dasar yang lain. 2. Menyusun suatu rangkaian kombinasi logika

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA. mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak

BAB IV PENGUJIAN DAN ANALISA. mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak BAB IV PENGUJIAN DAN ANALISA Langkah pengujian bertujuan untuk mendapatkan data-data sejauh mana sistem berfungsi sesuai dengan rancangan serta mengetahui letak kesalahan bila sistem yang dibuat ternyata

Lebih terperinci

SHEET PRAKTIK TEKNIK DIGITAL

SHEET PRAKTIK TEKNIK DIGITAL LAB SHEET PRAKTIK TEKNIK DIGITAL Pengenalan Komponen Elektronika Digital No. LST/PTE/EKA62/ Revisi: Tgl: 8 September 25 Page of 8. Kompetensi Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa

Lebih terperinci

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR

PERCOBAAN 8. RANGKAIAN ARITMETIKA DIGITAL DASAR PERCOBAAN 8. TUJUAN: Setelah menyelesaikan percobaan ini mahasiswa diharapkan mampu Memahami rangkaian aritmetika digital : adder dan subtractor Mendisain rangkaian adder dan subtractor (Half dan Full)

Lebih terperinci

Sistem Digital. Sistem Angka dan konversinya

Sistem Digital. Sistem Angka dan konversinya Sistem Digital Sistem Angka dan konversinya Sistem angka yang biasa kita kenal adalah system decimal yaitu system bilangan berbasis 10, tetapi system yang dipakai dalam computer adalah biner. Sistem Biner

Lebih terperinci

GERBANG GERBANG LOGIKA

GERBANG GERBANG LOGIKA GERBANG GERBANG LOGIKA Gerbang-gerbang logika atau dapat juga dinamai rangkaian pintu (gate circuits). Gerbang-gerbang logika ini banyak sekali penerapannya di dunia industri terutama yang digunakan dalam

Lebih terperinci

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012

O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 O L E H : H I DAYAT J U R U SA N TEKNIK KO M P U TER U N I KO M 2012 Outline Penjelasan tiga operasi logika dasar dalam sistem digital. Penjelasan Operasi dan Tabel Kebenaran logika AND, OR, NAND, NOR

Lebih terperinci

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika

Lanjutan. Rangkaian Logika. Gambar Rangkaian Logika IX. RANGKAIAN LOGIKA KOMINASIONAL A. PENDAHULUAN - Suatu rangkaian diklasifikasikan sebagai kombinasional jika memiliki sifat yaitu keluarannya ditentukan hanya oleh masukkan eksternal saja. - Suatu rangkaian

Lebih terperinci

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE

GERBANG LOGIKA. Keadaan suatu sistem Logika Lampu Switch TTL CMOS NMOS Test 1 Tinggi Nyala ON 5V 5-15V 2-2,5V TRUE 0 Rendah Mati OFF 0V 0V 0V FALSE GERBANG LOGIKA I. KISI-KISI. Gerbang Logika Dasar (AND, OR, NOT, NAND, NOR, EXOR, EXNOR). AStable Multi Vibrator (ASMV) dan MonoStable MultiVibrator (MSMV). BiStable Multi Vibrator (SR-FF, JK-FF, D-FF,

Lebih terperinci

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial

Arsitektur Komputer. Rangkaian Logika Kombinasional & Sekuensial Arsitektur Komputer Rangkaian Logika Kombinasional & Sekuensial 1 Rangkaian Logika Rangkaian Logika secara garis besar dibagi menjadi dua, yaitu : Rangkaian Kombinasional adalah rangkaian yang kondisi

Lebih terperinci

Demultiplexer dan Multiplexer Oleh : Khany Nuristian Defi Setiawati Tugas Sistem Digital DEMULTIPLEKSER

Demultiplexer dan Multiplexer Oleh : Khany Nuristian Defi Setiawati Tugas Sistem Digital DEMULTIPLEKSER Demultiplexer dan Multiplexer Oleh : Khany Nuristian 0917041035 Defi Setiawati 1017041025 Tugas Sistem Digital DEMULTIPLEKSER Sebuah Demultiplexer adalah rangkaian logika yang menerima satu input data

Lebih terperinci

BAB IV PENGUJIAN DAN PENGUKURAN ALAT

BAB IV PENGUJIAN DAN PENGUKURAN ALAT BAB IV PENGUJIAN DAN PENGUKURAN ALAT 4.1 Pengujian Alat Dalam bab ini akan dibahas pengujian seluruh perangkat dari Sistem Interlock pada Akses Keluar Masuk Pintu Otomatis dengan Identifikasi RFID dan

Lebih terperinci

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen

LAB SHEET TEKNIK DIGITAL. Dibuat oleh : Dilarang memperbanyak sebagian atau seluruh isi dokumen No. LST/EKO/DEL 214/09 Revisi : 02 Tgl : 5 Mei 2010 Hal 1 dari 6 1. Kompetensi Memahami cara kerja rangkaian adder dan rangkaian subtractor. 2. Sub Kompetensi Memahami cara kerja rangkaian adder. Memahami

Lebih terperinci

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F 551 12 062 ANISA PRATIWI / F 551 12 075 JUPRI SALINDING / F 551 12 077 WIDYA / F 551 12 059 TEKNIK INFORMATIKA (S1) TEKNIK ELEKTRO

Lebih terperinci

BAB V RANGKAIAN ARIMATIKA

BAB V RANGKAIAN ARIMATIKA BAB V RANGKAIAN ARIMATIKA 5.1 REPRESENTASI BILANGAN NEGATIF Terdapat dua cara dalam merepresentasikan bilangan biner negatif, yaitu : 1. Representasi dengan Tanda dan Nilai (Sign-Magnitude) 2. Representasi

Lebih terperinci

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran GERBANG UNIVERSAL I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran II. PENDAHULUAN Gerbang universal adalah salah satu gerbang dasar yang dirangkai sehingga menghasilkan

Lebih terperinci

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian

Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian Rangkaian ALU (Arithmetic and Logic Unit) yang digunakan untuk menjumlahkan bilangan dinamakan dengan Adder. Adder juga sering disebut rangkaian kombinasional aritmetika Ada 3 jenis Adder : Rangkaian Adder

Lebih terperinci

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA PERCOBAAN DIGITAL GERBANG LOGIKA DAN RANGKAIAN LOGIKA .. TUJUAN PERCOBAAN. Mengenal berbagai jenis gerbang logika 2. Memahami dasar operasi logika untuk gerbang AND, NAND, OR, NOR. 3. Memahami struktur

Lebih terperinci

BAB VI RANGKAIAN ARITMATIKA

BAB VI RANGKAIAN ARITMATIKA BAB VI RANGKAIAN ARITMATIKA 6.1 Pendahuluan Pada saat ini banyak dihasilkan mesin-mesin berteknologi tinggi seperti komputer atau kalkulator yang mampu melakukan fungsi operasi aritmatik yang cukup kompleks

Lebih terperinci

GERBANG LOGIKA & SISTEM BILANGAN

GERBANG LOGIKA & SISTEM BILANGAN GERBANG LOGIKA & SISTEM BILANGAN I. GERBANG LOGIKA Gerbang-gerbang dasar logika merupakan elemen rangkaian digital dan rangkaian digital merupakan kesatuan dari gerbang-gerbang logika dasar yang membentuk

Lebih terperinci

BAB IV ANALISIS DAN PENGUJIAN. Pada bab ini akan dijelaskan mengenai pengujian terhadap keseluruhan

BAB IV ANALISIS DAN PENGUJIAN. Pada bab ini akan dijelaskan mengenai pengujian terhadap keseluruhan BAB IV ANALISIS DAN PENGUJIAN Pada bab ini akan dijelaskan mengenai pengujian terhadap keseluruhan sistem. Materi pengujian meliputi pengujian sistem terhadap berbagai macam pengujian pemilih saluran,

Lebih terperinci

2. Prinsip dan aplikasi Relay

2. Prinsip dan aplikasi Relay Pertemuan 2 2. Prinsip dan aplikasi Relay Handy Wicaksono Jurusan Teknik Elektro Universitas Kristen Petra Sasaran Mahasiswa mampu : Menjelaskan prinsip kerja relay Mengetahui macam macam relay dan bagaimana

Lebih terperinci

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN

BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN BAB I GERBANG LOGIKA DASAR & ALJABAR BOOLEAN A. Tabel Kebenaran (Truth Table) Tabel kebenaran merupakan tabel yang menunjukkan pengaruh pemberian level logika pada input suatu rangkaian logika terhadap

Lebih terperinci

SISTEM SANDI (KODE) Suatu rangkaian pengubah pesan bermakna (misal desimal) menjadi sandi tertentu (misal biner) disebut enkoder (penyandi).

SISTEM SANDI (KODE) Suatu rangkaian pengubah pesan bermakna (misal desimal) menjadi sandi tertentu (misal biner) disebut enkoder (penyandi). SISTEM SANDI (KODE) Pada mesin digital, baik instruksi (perintah) maupun informasi (data) diolah dalam bentuk biner. Karena mesin digital hanya dapat memahami data dalam bentuk biner. Suatu rangkaian pengubah

Lebih terperinci

MODIFIKASI APLIKASI RANGKAIAN LOGIKA

MODIFIKASI APLIKASI RANGKAIAN LOGIKA MODIFIKASI APLIKASI RANGKAIAN LOGIKA I. TUJUAN : - Mampu menterjemahkan pernyataan logika menjadi bahasa logika - Mampu mengubah bahasa logika menjadi bahasa logika biner dalam bentuk tabel kebenaran/tabel

Lebih terperinci

Encoder, Multiplexer, Demultiplexer, Shifter, PLA

Encoder, Multiplexer, Demultiplexer, Shifter, PLA Encoder, Multiplexer, Demultiplexer, Shifter, PLA Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom November 2015 Bahan Presentasi

Lebih terperinci

Sistem Bilangan. Rudi Susanto

Sistem Bilangan. Rudi Susanto Sistem Bilangan Rudi Susanto 1 Sistem Bilangan Ada beberapa sistem bilangan yang digunakan dalam sistem digital. Yang paling umum adalah sistem bilangan desimal, biner, oktal dan heksadesimal Sistem bilangan

Lebih terperinci

BAB II ARITMATIKA DAN PENGKODEAN

BAB II ARITMATIKA DAN PENGKODEAN TEKNIK DIGITAL/HAL. 8 BAB II ARITMATIKA DAN PENGKODEAN ARITMATIKA BINER Operasi aritmatika terhadap bilangan binari yang dilakukan oleh komputer di ALU terdiri dari 2 operasi yaitu operasi penambahan dan

Lebih terperinci

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan:

Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Peta Karnaugh Konversi Tabel Kebenaran Ke Ekspresi Boolean (1) Disain sistem digital diawali dengan: Tabel kebenaran yang menggambarkan bagaimana sebuah sistem digital harus bekarja Perancangan sistem

Lebih terperinci

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider

Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Perancangan Rangkaian Digital, Adder, Substractor, Multiplier, Divider Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

Lebih terperinci

RANGKAIAN CASCADE PADA RANGKAIAN SEQUENTIAL ASINKRON

RANGKAIAN CASCADE PADA RANGKAIAN SEQUENTIAL ASINKRON JETri, Volume 1, Nomor 1, Agustus 2001, Halaman 33-44, ISSN 1412-0372 RANGKAIAN CASCADE PADA RANGKAIAN SEQUENTIAL ASINKRON Kuat Rahardjo T.S. Dosen Jurusan Teknik Elektro-FTI, Universitas Trisakti Abstract

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

Contoh Bentuk LCD (Liquid Cristal Display)

Contoh Bentuk LCD (Liquid Cristal Display) Display elektronik adalah salah satu komponen elektronika yang berfungsi sebagai tampilan suatu data, baik karakter, huruf ataupun grafik. LCD (Liquid Cristal Display) adalah salah satu jenis display elektronik

Lebih terperinci

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1

Sistem Digital. Dasar Digital -4- Sistem Digital. Missa Lamsani Hal 1 Sistem Digital Dasar Digital -4- Missa Lamsani Hal 1 Materi SAP Gerbang-gerbang sistem digital sistem logika pada gerbang : Inverter Buffer AND NAND OR NOR EXNOR Rangkaian integrasi digital dan aplikasi

Lebih terperinci

SISTEM DIGITAL 1. PENDAHULUAN

SISTEM DIGITAL 1. PENDAHULUAN SISTEM DIGITAL Perkembangan teknologi dalam bidang elektronika sangat pesat, kalau beberapa tahun lalu rangkaian elektronika menggunakan komponen tabung hampa, komponen diskrit, seperti dioda, transistor,

Lebih terperinci

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT BAB III PERANCANGAN ALAT 3.1 BLOK DIAGRAM Pada perancangan tugas akhir ini saya merancang sistem dengan blok diagram yang dapat dilihat pada gambar 3.1. Gambar 3.1. Blok Diagram Dari blok diagram pusat

Lebih terperinci

2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Analog Digital

2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Analog Digital 2. Dasar dari Komputer, Sistem Bilangan, dan Gerbang logika 2.1. Data Komputer yang dipakai saat ini adalah sebuah pemroses data. Fungsinya sangat sederhana : Untuk memproses data, kemudian hasil prosesnya

Lebih terperinci

Tabel 1. Karakteristik IC TTL dan CMOS

Tabel 1. Karakteristik IC TTL dan CMOS BAB II TINJAUAN PUSTAKA 2.1. IC Digital TTL dan CMOS Berdasarkan teknologi pembuatannya, IC digital dibedakan menjadi dua jenis, yaitu TTL (Transistor-Transistor Logic) dan CMOS (Complementary Metal Oxide

Lebih terperinci

MODUL II GATE GATE LOGIKA

MODUL II GATE GATE LOGIKA MODUL II GTE GTE LOGIK I. Tujuan instruksional khusus. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika. II. Dasar Teori Gerbang Logika

Lebih terperinci

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN

LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN LAPORAN PRAKTIKUM SISTEM DIGITAL PEMBUKTIAN DALIL-DALIL ALJABAR BOOLEAN Dosen Pengampu : Shoffin Nahwa Utama, M.T. Disusun Oleh: MUHAMMAD IBRAHIM NIM : 362015611040 FAKULTAS SAINS DAN TEKNOLOGI TEKNIK

Lebih terperinci

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto

Kuliah#6 TSK205 Sistem Digital - TA 2013/2014. Eko Didik Widianto Kuliah#6 TSK205 Sistem Digital - TA 2013/2014 Eko Didik Sistem Komputer - Universitas Diponegoro http://didik.blog.undip.ac.id 1 Umpan Balik Sebelumnya dibahas tentang minimalisasi dan optimalisasi rangkaian

Lebih terperinci

MODUL PRAKTIKUM RANGKAIAN DIGITAL

MODUL PRAKTIKUM RANGKAIAN DIGITAL MODUL PRAKTIKUM RANGKAIAN DIGITAL JURUSAN TEKNIK INFORMATIKA FAKULTAS SAINS DAN TEKNOLOGI UNIVERSITAS ISLAM NEGERI MAULANA MALIK IBRAHIM MALANG Jl. Gajayana No. 50 Malang (65144) Telp : 0341-551354, Faks

Lebih terperinci

SISTEM KONVERTER KODE DAN ADDER

SISTEM KONVERTER KODE DAN ADDER MAKALAH SISTEM KONVERTER KODE DAN ADDER Disusun untuk melengkapi Tugas Elektronika kelas A Teknik Fisika - Fakultas Teknologi Industri - ITS Disusun oleh : Kelompok 1. Abu Hamam 2412 100 100 2. Moudy Azura

Lebih terperinci

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR) Diajukan untuk memenuhi salah satu tugas mata kuliah Elektronika Lanjut Dosen Pengampu : Ahmad Aminudin, M.Si Oleh : Aceng Kurnia Rochmatulloh (1305931)

Lebih terperinci

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA

PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA PEMANFAATAN APLIKASI ELECTRONIC WORKBENCH (EWB) PADA MATA KULIAH LOGIKA INFORMATIKA MATERI GERBANG LOGIKA Sigit Susanto Putro Program Studi Teknik Informatika Fakultas Teknik Universitas Trunojoyo E-mail:

Lebih terperinci

A0 B0 Σ COut

A0 B0 Σ COut A. Judul : PARALEL ADDER B. Tujuan Kegiatan Belajar 8 : Setelah mempraktekkan Topik ini, mahasiswa diharapkan dapat : ) Merangkai rangkaian PARALEL ADDER. ) Mempelajari penjumlahan dan pengurangan bilangan

Lebih terperinci

BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107

BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107 BAB IV VOLTMETER DIGITAL DENGAN MENGGUNAKAN ICL7107 Berkaitan dengan pembuatan alat percobaan efek fotolistrik, diperlukan sebuah alat ukur yang bisa mengukur arus dan tegangan DC dengan polarisasi positif

Lebih terperinci

LEMBAR TUGAS MAHASISWA ( LTM )

LEMBAR TUGAS MAHASISWA ( LTM ) LEMBAR TUGAS MAHASISWA ( LTM ) RANGKAIAN DIGITAL Program Studi Teknik Komputer Jenjang Pendidikan Program Diploma III Tahun AMIK BSI NIM NAMA KELAS :. :.. :. Akademi Manajemen Informatika dan Komputer

Lebih terperinci

MODUL 2 SISTEM PENGKODEAN BILANGAN

MODUL 2 SISTEM PENGKODEAN BILANGAN STMIK STIKOM BALIKPAPAN 1 MODUL 2 SISTEM PENGKODEAN BILANGAN A. TEMA DAN TUJUAN KEGIATAN PEMBELAJARAN 1. Tema : Sistem Pengkodean Bilangan 2. Fokus Pembahasan Materi Pokok 3. Tujuan Kegiatan Pembelajaran

Lebih terperinci

MULTIPLEKSER DAN DEMULTIPLEKSER

MULTIPLEKSER DAN DEMULTIPLEKSER MULTIPLEKSER DAN DEMULTIPLEKSER 1. Multiplekser Multiplexer (MUX) atau selector data adalah suatu rangkaian logika yang menerima beberapa input data, dan untuk suatu saat tertentu hanya mengizinkan satu

Lebih terperinci

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3 1. Kompetensi FAKULTAS TEKNIK No. LST/PTI/PTI6205/02 Revisi: 00 Tgl: 8 September 2014 Page 1 of 6 Dengan mengikuti perkuliahan praktek, diharapkan mahasiswa memiliki kedisiplinan, tanggung jawab dan dapat

Lebih terperinci

Sistem Bilangan dan Pengkodean -2-

Sistem Bilangan dan Pengkodean -2- Sistem Digital Sistem Bilangan dan Pengkodean -2- Missa Lamsani Hal 1 Sistem Bilangan Bilangan Decimal Bilangan Biner Decimal -> biner Aritmatika Binar Komplemen 1 dan 2 Sign Bit Operasi aritmatik dengan

Lebih terperinci

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock

ABSTRAK. Kata Kunci : Counter, Counter Asinkron, Clock ABSTRAK Counter (pencacah) adalah alat rangkaian digital yang berfungsi menghitung banyaknya pulsa clock atau juga berfungsi sebagai pembagi frekuensi, pembangkit kode biner Gray. Pada counter asinkron,

Lebih terperinci

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN

BAB IV PENGUJIAN DAN ANALISA RANGKAIAN BAB IV PENGUJIAN DAN ANALISA RANGKAIAN 4.1 Hasil Pengujian Perangkat Keras Pengujian pada prototype elevator atau lift ini dilakukan melalui beberapa tahap pengujian, yaitu pengujian terhadap perangkat-perangkat

Lebih terperinci

Representasi Bilangan dan Operasi Aritmatika

Representasi Bilangan dan Operasi Aritmatika Bilangan Bilangan dan Operasi Aritmatika Kuliah#8 TSK205 Sistem Digital - TA 2011/2012 Eko Didik Teknik Sistem Komputer - Universitas Diponegoro Review Kuliah Bilangan Sebelumnya telah dibahas tentang

Lebih terperinci

MODUL DASAR TEKNIK DIGITAL

MODUL DASAR TEKNIK DIGITAL MODUL DASAR TEKNIK DIGITAL ELECTRA ELECTRONIC TRAINER alexandernugroho@gmail.com HP: 08112741205 2/23/2015 BAB I GERBANG DASAR 1. 1 TUJUAN PEMBELAJARAN Peserta diklat / siswa dapat : Memahami konsep dasar

Lebih terperinci

Input ADC Output ADC IN

Input ADC Output ADC IN BAB IV HASIL DAN PEMBAHASAN IV.1. Hasil Dalam bab ini akan dibahas mengenai hasil yang diperoleh dari pengujian alat-alat meliputi mikrokontroler, LCD, dan yang lainnya untuk melihat komponen-komponen

Lebih terperinci