MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

dokumen-dokumen yang mirip
DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

Pengenalan VHDL. [Pengenalan VHDL]

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

BAB 3. Perancangan Sistem

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

MENGENAL MULTIPLEXER DAN DEMULTIPLEXER

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

ANALOG TO DIGITAL CONVERTER

MATERI PELATIHAN VHDL UNTUK SINTESIS

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

MULTIPLEKSER DAN DEMULTIPLEKSER

Pintu Portal Otomatis Berbasis Teknologi Programmable Logic Device (PLD) Automatic Portal Base on Programmable Logic Device (PLD) Technology

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

BAHASA PEMROGRAMAN VHDL

Pengenalan FPGA oleh Iman Taufik Akbar

MODUL I GERBANG LOGIKA

FPGA Field Programmable Gate Array

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

PROGRAMMABLE LOGIC DEVICES

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

BAB VI RANGKAIAN KOMBINASI

Gambar 4.1. Rangkaian Dasar MUX.

Percobaan IV PENGENALAN VHDL

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

TSK205 Sistem Digital. Eko Didik Widianto

III. METODE PENELITIAN. Penelitian ini mulai dilaksanakan pada bulan April 2015 sampai dengan Mei 2015,

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

8.3. DASAR TEORI : KONSEP DASAR MEMORY

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 4 Kalkulator 4-bit

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

RANGKAIAN MULTIPLEXER

BAB II LANDASAN TEORI

BAB 3 PERANCANGAN SISTEM. PID berbasiskan FPGA yang bekerja secara multiplexing untuk pemberian data set point

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung

AUDIO/VIDEO SELECTOR 5 CHANNEL DENGAN MIKROKONTROLER AT89C2051

III. METODE PENELITIAN

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM

BAB IX RANGKAIAN PEMROSES DATA

III. METODE PENELITIAN

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung

BAB I PENDAHULUAN 1.1 Latar Belakang

ELEKTRONIKA DIGITAL PPI 8255

QUARTUS DAN CARA PENGGUNAANNYA

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

BAB III PERANCANGAN Bahan dan Peralatan

DISUSUN OLEH : SHELLY PUSPITA WARDANI

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan

PERANCANGAN DAN REALISASI PENGANTRIAN MEJA PADA RESTORAN MENGGUNAKAN FASILITAS SHORT MESSAGE SERVICE

DETEKTOR JUMLAH BARANG DI MINIMARKET MENGGUNAKAN SENSOR INFRARED DAN PPI 8255 SEBAGAI INTERFACE

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

DISUSUN OLEH : WAHYU RUDI SANTOSO

Percobaan 9 MULTIPLEKSER. Oleh : Sumarna, Jurdik Fisika, FMIPA, UNY

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL 2. STUDI PUSTAKA

PERANCANGAN PLC MENGGUNAKAN FPGA

BAB III METODOLOGI PENELITIAN

Perancangan Alat Peraga Papan Catur pada Layar Monitor. Samuel Setiawan /

PENGEMBANGAN HARDWARE UNTUK PRAKTIKUM DIGITAL-2 DALAM REMOTE LABORATORY

BAB III METODE PENELITIAN

Rancangan Rangkaian Simulasi Luxmeter Dengan Menggunakan Sensor Light Dependent Resistor.. I Kadek Widiantara *, I Wayan Supardi, Nyoman Wendri

III. METODE PENELITIAN. Penelitian dan perancangan tugas akhir ini dilakukan di Laboratorium Terpadu

BAB III DESKRIPSI DAN PERANCANGAN SISTEM

BAB III DESAIN DAN PENGEMBANGAN SISTEM

PERANCANGAN MODEL SISTEM PENGATURAN LALU-LINTAS KENDARAAN YANG AKAN MEMOTONG TITIK PUTAR-BALIK PADA JALUR BUSWAY

Makalah Elektronika MULTIPLEXER DAN DEMULTIPLEXER

RANCANG BANGUN INVERTER PENGENDALI KECEPATAN MOTOR AC PADA KONVEYOR MENGGUNAKAN MIKROKONTROLER AT89S51

MODUL 6 PROYEK PERANCANGAN RANGKAIAN DIGITAL: STOPWATCH DIGITAL

Media Informatika Vol. 15 No. 2 (2016) SIMULASI ROBOT LINE FOLLOWER DENGAN PROTEUS. Sudimanto

BAB III PERENCANAAN PERANGKAT KERAS DAN LUNAK

BAB IV HASIL PENGUKURAN DAN PENGUJIAN ALAT SISTEM PENGONTROL BEBAN DAYA LISTRIK

BAB I PENDAHULUAN 1.1. Latar Belakang

MODUL DASAR TEKNIK DIGITAL

RANCANG BANGUN KONTROL PERALATAN LISTRIK OTOMATIS BERBASIS AT89S51

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

PLA & PLD Programmable Logic Array Programmable Logic Device

MULTIPLEXER. Pokok Bahasan : 1. Pendahuluan 2. Dasar-dasar rangkaian Multiplexer. 3. Mendesain rangkaian Multiplexer

Demultiplexer dan Multiplexer Oleh : Khany Nuristian Defi Setiawati Tugas Sistem Digital DEMULTIPLEKSER

MODUL 3 GERBANG LOGIKA DASAR

BAB III PERANCANGAN PERANGKAT KERAS DAN LUNAK. Perangkat keras dari alat ini secara umum terdiri dari rangkaian dibagi

APLIKASI MIKROKONTROLER AT89S51 PADA SISTEM ANTRIAN DENGAN PENAMPIL DAN SUARA

BAB III PERANCANGAN ALAT

BAB III PERANCANGAN ALAT

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

BAB I PENDAHULUAN 1.1 Latar Belakang

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

SEMINAR NASIONAL PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA DIGITAL ENCODER, DECODER, MULTIPLEXER DAN DEMULTIPLEXER.

BAB III ANALISIS DAN PERANCANGAN

SIMULASI CONVERTER DAYA FREKUENSI TINGGI DENGAN TEKNOLOGI PLD BERBASIS SISTEM MIKROKONTROLLER

SIMULASI PENYIRAMAN TANAMAN PADA RUMAH KACA MENGGUNAKAN SENSOR SUHU LM35 MELALUI PARALEL PORT DENGAN APLIKASI BAHASA PEMROGRAMAN DELPHI 7.

Transkripsi:

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had the evolution of personal Computer, telephone cellular and high speed data communication equipment. To follow this development, electronics companies have designed and produce new product. One of these innovations is Programmable Logic Devices (PLD) technology. It is a technology to change function of IC digital logic using programming. Many of Programmable Logic Device (PLD) can be used to programming logic using single chip of integrated circuit (IC). Programmable Logic Devices (PLD) technology is applied using IC PAL 22V10 to design multiplexer 4 input 1 output and 2 selector. Keyword: Programmable Logic Devices (PLD), multiplexer, IC PAL 22V10 PENDAHULUAN Pada pertengahan 1990, industri elektronika mengalami perkembangan yang pesat dalam personal computer, telepon seluler dan peralatan komunikasi data dengan kecepatan tinggi. Untuk persaingan pasar, produsen membuat produk dengan meningkatkan fungsi, penampilan, biaya rendah, konsumsi daya yang rendah dan ukuran yang kecil. Untuk memenuhi kebutuhan ini, produsen menciptakan sistem yang komplek dengan pemakain IC yang lebih sedikit dan ukuran PCB yang lebih kecil. Berbagai teknologi terpadu digunakan seperti proses submikron semikonduktor, teknologi PCB, dan pemaksimalan penggunaan permukaan PCB. Penunjang design digunakan oleh para designer berupa Electronic Design Automation (EDA) tools. Kondisi pasar ini membuat metodologi modern dalam design dan tes digunakan, antara lain Programmable Logic Device (PLD). Untuk mengikuti perkembangan ini, perusahaan elektronika telah mendesain dan membuat produk baru. Untuk memenuhi tuntutan ini, engineers dan teknisi berusaha untuk membuat penemuan yang membantu mereka membuat prototype rangkaian digital dan mengevaluasi kemajuannya dalam waktu yang lama. Salah satunya adalah teknologi Programmable Logic Devices (PLD) yang merupakan IC digital logic yang bisa dirubah fungsinya melalui pemrograman dan seperti diindustri, akan dapat dilihat bagaimana mudahnya membuat rangkaian digital menggunakan PLD. Perumusan Masalah Bagaimana merancang dan membuat multiplekser 4 input 1 output dan 2 selektor berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10. Elektron: Vol. 1 No. 2, Edisi: Desember 2009 13

Tujuan 1. Merancang dan membuat multiplekser 4 input 1 output dan 2 selektor berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10. 2. Menganalisis hasil teknologi Programmable Logic Device (PLD) untuk multiplekser 4 input 1 output dan 2 selektor. Landasan Teori Berbagai Programmable Logic Device (PLD) dapat digunakan untuk logika pemrograman yang banyak dengan hanya menggunakan satu IC. Keuntungannya adalah kefleksibelannya dalam penggunaan dengan skedul yang lebih ketat, untuk penggunaan pada produk dengan volume yang kecil dan untuk pengaktifan awal sebuah produk meskipun digunakan pada high volume. Single PLD terdiri dari gerbang logika dalam jumlah yang banyak, termasuk device penghubung dimana semua device ini berada dalam satu IC. Dengan menggunakan PC, logika program dapat dibuat dengan HDL menggunakan text editor atau gambar sederhana dari logika rangkaian dengan menggunakan schematic editor. HDL atau schematic selanjutnya decompile dengan program untuk membuat detail dari rangkaian logika yang akan menghasilkan output dari rancangan yang yang telah dibuat pada HDL atau skematik. Operasi dari rangkaian ini selanjutnya dapat disimulasikan untuk meyakinkan bahwa output sesuai dengan yang diinginkan. Jika simulasi rangkaian telah sesuai, maka desain selanjutnya didownload melalui parallel port ke PLD. Switch dihubungkan ke input dan LED ke output untuk mencoba test akhir pada prototype. Gambar 1. Konstruksi rangkaian PLD 1. Salah satu contoh IC yang menggunakan teknologi PLD adalah IC22V10. IC 22V10 terdiri dari 24 pin dengan pin 12 berfungsi sebagai ground dan pin 24 berfungsi sebagai catu daya 5 volt. Pin 1 berfungsi sebagai clock atau input dan pin 2 sampai 13 (kecuali pin 12) berfungsi sebagai input saja. Pin 14 sampai pin 23 berfungsi sebagai input atau output. Bahasa pemograman yang digunakan adalah Warp 4.2. 14 Elektron: Vol. 1 No. 2, Edisi: Desember 2009

oleh sinyal kendali alamat atau disebut juga masukkan select. I0 I1 I2 MUX Y I3 selektor I0 G0 Gambar 2. Konfigurasi IC PAL 22V10 I1 I2 I3 G1 G2 G3 Y Multiplexer berarti dari banyak ke dalam (menjadi) satu. Sebuah multiplexer adalah rangkaian yang memiliki banyak masukan tetapi hanya satu keluaran. Multiplexer sering disingkat dengan MUX. MULTIPLEXER (MUX) atau DATA SELECTOR adalah sebuah devais digital yang memiliki fungsi memilih salah satu dari sejumlah saluran input untuk ditransmisikan ke satu output. Prinsipnya sama seperti saklar pemilih, dari 2 n buah input dipilih melalui n buah jalur pemilih (DATA SELECT), jalur mana yang akan disalurkan ke output. Dengan menggunakan sinyal kendali kita dapat mengatur penyaluran masukkan tertentu menuju keluarannya. Sinyal kendali ini akan mengatur bagian mana atau alamat mana yang akan diaktifkan atau dipilih. Piranti multiplexer atau disebut juga pemilihan data (data selector) adalah sebuah rangkaian logika yang menerima beberapa masukkan data dan hanya satu diantara mereka yang dilewatkan ke keluaran pada suatu waktu. Gambar 3. Rangkaian Multiplexer 4 ke 1 Tabel 1 Tabel kebenaran MUX 4 ke 1 S 1 S 0 Y 0 0 I 0 0 1 I 1 1 0 I 2 1 1 I 3 Gambar3 memperlihatkan sebuah Multiplexer yang dasar dan sederhana, terdiri hanya 4 masukkan data digital dengan keluaran 1 jalur digital. Multiplexer tersebut sering diartikan sebagai multiplexer 4 ke-1. Bit-bit data masukkan terdiri dari I 0 sampai I 3. Hanya satu diantara masukkan-masukkan tersebut yang diteruskan ke bagian keluaran. Sinyal kendali address S 0 dan S 1, menentukan jalur data yang berisi bitbit biner mana yang akan dikeluarkan. Sebagai contoh, jika Jalur dari data masukkan yang diharapkan ke keluaran dikendalikan Elektron: Vol. 1 No. 2, Edisi: Desember 2009 15

S 1 S 0 = 0 1 PC Downloader maka gerbang AND atau gerbang G 1 menjadi aktif (Enable) dan semua gerbang AND yang lain dalam keadaan tak aktif atau tidak aktif (Disable). Karena itu, bit data I 1 diteruskan ke bagian keluaran dan memberikan hasil : Input : - Switch PAL22V10 Output : - Led Y = I 1 Jadi, data apapun yang lewat pada jalur I 1 melalui G 1 akan dikirimkan melalui gerbang OR dan dikeluarkan ke keluaran Y. Berdasarkan program yang telah didownload ke modul, maka dapat dilihat table kebenarannya seperti berikut: Tabel 2 Tabel Kebenaran Multiplekser S 1 S 0 a b c d Gambar 4. Diagram blok hardware 1. Personal Computer (PC), berfungsi sebagai tempat pembuatan program dengan menggunakan bahasa pemograman Warp 4.2 dan simulasi hasil pemograman. 2. Downloader,berfungsi untuk memindahkan data hasil pemograman dari personal computer (PC) ke IC PAL 22V10. 3. Input switchberfungsi sebagai input logic. 4. PAL 22V10, merupakan salah satu jenis Programable Logic Device (PLD) 5. Output led berfungsi sebagai indikator output. IC 74153 merupakan Multiplexer dengan 4 input 1 output dan 2 selektor 5 V 0 0 1 - - - 0 1-1 - - A B 2 3 23 R 330 q 1 0 - - 1-1 1 - - - 1 METODE PENELITIAN switch input 5 v C D 4 5 M ULTIPLEKSER Perancangan dan Pembuatan Perangkat Keras 22V10 14 Elektron: Vol. 1 No. 2, Edisi: Desember 2009

Gambar 5 Rangkaian IC PAL22V10 yang difungsikan sebagai multiplexer 4 input 1 output dan 2 selektor Perancangan dan pembuatan software Perangkat software yang dirancang untuk sistim ini adalah perangkat lunak untuk menjalankan sistim trainer secara keseluruhan, software ini dirancang dengan menggunakan bahasa Warp 4.2. library ieee; use ieee.std_logic_1164.all; entity multiplexer is port( a,b,c,d : in std_logic; q : out std_logic; s : in std_logic_vector(1 downto 0)); attribute part_name of multiplexer :entity is 22V10 ; attribute pin_number of mux :entity is a(0):2 b(0):3 c(0)4 d(0):5 a(1):6 b(1):7 c(1)8 d(1):9 s(0):10 s(1) :11 q(0):23 q(1) :22 ; end multiplekser; architecture behave of multiplexer is end process; end behave; HASIL DAN PEMBAHASAN Tabel 3. Hasil pengujian multiplekser 4 ke 1 PEMILIH OUTPUT S 1 S 0 Q 0 0 a 0 1 b 1 0 c 1 1 d (a) begin process (a, b,c,d, s) begin if s = 00 then q < = a; else if s = 10 then q < = b; else If s = 01 then q < = c; else if s = 11 then q < = d; (b) Elektron: Vol. 1 No. 2, Edisi: Desember 2009 17

(c) Dimana, switch yang digunakan adalah Q(A) = S(6), Q(B) = S(2), Q(C) = S(7), Q(D) = S(3). Sedangkan untuk selektor (pemilih) data yang akan dikeluarkan, digunakan S(8) =, S(4) =. (d) (e) Gambar 6. Hasil simulasi multiplekser 4 ke 1 Pembahasan Berdasarkan hasil test fisik rangkaian, dapat dibuktikan bahwa teori mengenai multiplekser terbukti kebenarannya. Dan dapat juga diperkuat kebenarannya dengan hasil simulasi yang terlihat pada gambar di atas. Untuk mempermudah pengujian alat atau rangkaian D0 D1 fisiknya D2 D3 maka D4 dapat D5 D6 dilakukan D7 dengan melihat konfigurasi switch yang digunakan seperti berikut: Logika untuk rangkaian multiplekser ini adalah, pada saat diberikan selektor: Saat = 00 Keluarannya yang aktif adalah Q(A) Saat = 01 Keluarannya yang aktif adalah Q(B) Saat = 10 Keluarannya yang aktif adalah Q(C) Saat = 11 Keluarannya yang aktif adalah Q (D) Dari data diatas dapat kita lihat bahwa hasil rancangan multiplekser 4 input 1 output dan 2 selektor dengan menggunakan teknologi Programmable Logic Device (PLD) yang kita buat telah sesuai dengan yang kita harapkan yaitu sesuai dengan spesifikasi dari IC 74153. KESIMPULAN Dengan menggunakan IC PAL 22V10 kita dapat mengaplikasikan teknologi Programmable Logic Device (PLD) untuk multiplekser 4 input 1 output dan 2 selektor sebagai pengganti dari IC 74153. S S S S S 14 b d Elektron: Vol. 1 No. 2, Edisi: Desember 2009 S S S S a c

DAFTAR PUSTAKA ISSN: 2085-6989 Kevin Skahill., 1997, VHDL for Programmable Logic, Addison Wesley Neil H.E. Weste,2005, CMOS VLSI Design, Addison Wesley Nigel P. Cook,2004, Practical Digital Electronics, Prentice Hall Stephen Brown, 2000, Digital logic of Fundamentals With VHDL Design, McGraw-Hill Elektron: Vol. 1 No. 2, Edisi: Desember 2009 19