Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

dokumen-dokumen yang mirip
BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

PURWARUPA MIKROPROSESOR BERBASIS FPGA ALTERA EPF10K10 DENGAN DESKRIPSI VHDL

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

FPGA Field Programmable Gate Array

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

PLA & PLD Programmable Logic Array Programmable Logic Device

Design Capture dalam Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

MATERI PELATIHAN VHDL UNTUK SINTESIS

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

ARSITEKTUR FPGA. Veronica Ernita K.

IMPLEMENTASI SISTEM DADU ELEKTRONIK DENGAN

BAB 1 PENDAHULUAN. 1.1 Latar Belakang Masalah

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

Read Only Memory (ROM) berbasis Field Programmable Gate Array (FPGA) menggunakan VHDL (VHSIC Hardware Description Language)

BAB I SISTEM KONTROL TNA 1

BAB I PENDAHULUAN 1.1. Latar Belakang

Teknologi Implementasi dan Metodologi Desain Sistem Digital

Pendahuluan BAB I PENDAHULUAN

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Pengenalan VHDL. [Pengenalan VHDL]

ASIC Application Spesific Integrated Circuit

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

PERANCANGAN PLC MENGGUNAKAN FPGA

BAB I PENDAHULUAN Latar Belakang Rumusan Masalah Tujuan

Mikroprosesor. Nuryono Satya Widodo, S.T.,M.Eng. Mikroprosesor 1

MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

Pengantar Sistem Digital

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

PERANCANGAN DAN SIMULASI ALAT PENGHITUNG JUMLAH DETAK JANTUNG MENGGUNAKAN ISE WEBPACK 13.1

BAB I PENDAHULUAN. 1.1 Latar Belakang. Peran teknologi dewasa ini dalam dunia industri telah berkembang dengan pesat.

FORMULIR Satuan Acara Pengajaran

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY (FPGA) UNTUK MEMBUAT GAME RICOCHET. Naskah Publikasi. diajukan oleh Astona Sura Satrida

TSK205 Sistem Digital. Eko Didik Widianto

BAB I PENDAHULUAN. komunikasi nirkabel mulai dari generasi 1 yaitu AMPS (Advance Mobile Phone

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan

1 Deskripsi Perkuliahan

Lampu lalu lintas sederhana berbasis Field Programmable Gate Array (FPGA) menggunakan Finite State Machine

PERANCANGAN PENGENDALI PID DIGITAL DAN IMPLEMENTASINYA MENGGUNAKAN FPGA

SATUAN ACARA PERKULIAHAN UNIVERSITAS GUNADARMA

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

ANALISIS PERBANDINGAN METODE PERKALIAN ARRAY DAN BOOTH. Hendra Setiawan 1*, Fahmi Nugraha 1. Jl. Kaliurang km.14.5, Yogyakarta 55582

Aplikasi FPGA dalam Pengontrolan Ruangan

Sejarah mikroprosessor

BAB IV IMPLEMENTASI DAN VERIFIKASI PADA FPGA

PENDAHULUAN PULSE TRAIN. GATES ELEMEN LOGIKA

SATUAN ACARA PERKULIAHAN MATA KULIAH ARSITEKTUR KOMPUTER (TK) KODE / SKS KK /4

TSK505 - Sistem Digital Lanjut. Eko Didik Widianto

Perkembangan Mikroprosesor

Implementasi Penampil Citra Dengan Menggunakan Picoblaze FPGA

BAB V SISTEM PENGOLAHAN DATA KOMPUTER (Arsitektur Komputer) "Pengantar Teknologi Informasi" 1

BAB 1 PENDAHULUAN. Penggunaan teknik penjamakan dapat mengefisienkan transmisi data. Pada

ARSITEKTUR MIKROKONTROLER AT89C51/52/55

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

BAB VIII REGISTER DAN COUNTER

BAB V PROGRAMMABLE LOGIC CONTROLLER

Pertemuan ke 5 BAB IV Sintesis Rangkaian Sekuensial (2) Deskripsi Manfaat Relevansi Learning Outcome Materi I. Rangkaian Memori Terbatas RAM dinamik

Metodologi Top-down bagi Perancang Chip (Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC))

TUGAS AKHIR RANCANG BANGUN PENGUKUR MASSA MENGGUNAKAN LOADCELL BERBASIS MIKROKONTROLER AT89S51

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

IMPLEMENTASI FIELD PROGRAMMABLE GATE ARRAY DALAM PERANCANGAN ARITHMETIC-LOGIC UNIT DAN SHIFTER

Unit Control (Hardwired and Micro-programmed)

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Transfer Register. Andang, Elektronika Komputer Digital 1

IMPLEMENTASI ALGORITME HIGH PASS FILTER PADA FPGA MENGGUNAKAN PROSESOR NIOS II

PERANCANGAN LAYOUT VLSI UNTUK ARSITEKTUR SET INSTRUKSI PADA PROSESOR MULTIMEDIA

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

BAB III PERANCANGAN ALAT

Pengenalan & Konsep Dasar FPGA. Veronica Ernita Kristianti

PERANCANGAN DAN IMPLEMENTASI PROTOTIPE MINIATUR LIFT TIGA LANTAI

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

Percepatan Menggunakan Perangkat Keras

Penerapan Finite State Machine Untuk Merancang Pengendali Motor Stepper Menggunakan Vhdl

DAFTAR ISI. Halaman Judul. Lembar Pengesahan Pembimbing. Lembar Pernyataan Keaslian. Lembar Pengesahan Penguji. Halaman Persembahan.

t o l e a r n t o k n o w P L C BASIC I Instruktur : TOTOK NUR ALIF S.Pd NIP

Sistem Tertanam. Pengantar Atmega328 dan Arduino Uno. Dennis Christie - Universitas Gunadarma

Kuliah#12 TKC205 Sistem Digital. Eko Didik Widianto. 11 Maret 2017

Bab 3 PLC s Hardware

MERGESORT DALAM TINGKAT REGISTER TRANSFER LOGIC BERBASIS FIELD PROGRAMMABLE GATE ARRAY

BAB IV HASIL DAN PEMBAHASAN

MODUL I GERBANG LOGIKA

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU

BAB III METODOLOGI. tertentu yang biasa digunakan pada proses automasi. Smart relay memiliki ukuran

LAB #4 RANGKAIAN LOGIKA SEKUENSIAL

BAHASA PEMROGRAMAN VHDL

BAB II LANDASAN TEORI

SISTEM MIKROPROSESOR RIZAL SURYANA JURUSAN TEKNIK ELEKTRO - UNJANI

PERTEMUAN. A. Fungsi Komputer. 1. Organisasi dan Arsitektur Komputer. 2. Struktur dan Fungsi Komputer

Review Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto

BAB III LANDASAN TEORI. lingkungan. Apapun macam teknologi pengolahan air limbah domestik maupun

RUMUSAN MASALAH Rumusan masalah yang diambil penulis ialah mengembangkan dari latar belakang masalah yang telah diuraikan di atas, dan dapat diperoleh

Pengenalan FPGA oleh Iman Taufik Akbar

ABSTRAK. Universitas Kristen Maranatha

BAB II. PENJELASAN MENGENAI System-on-a-Chip (SoC) C8051F Pengenalan Mikrokontroler

BAB III PERANCANGAN DAN PEMBUATAN ALAT

BAB 4 IMPLEMENTASI DAN EVALUASI. selanjutnya perancangan tersebut diimplementasikan ke dalam bentuk yang nyata

Transkripsi:

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL Agfianto Eko Putra 1, Heru Arif Yuliadi 2 1,2 Elektronika dan Instrumentasi (ELINS), FMIPA Universitas Gadjah Mada, Bulaksumur, Yogyakarta 1 agfi@ugm.ac.id, 2 harify8938@yahoo.co.uk Abstract Currently, most common elevator control system based on microcontroller or PLC (Programmable Logic Controller). In this research, it has been designed elevator control system based on FPGA Altera EPF10K10 using VHDL (VHSIC Hardware Description Language). The design is split the control system into modules, each modules and integration for each modules using VHDL description. The system consist five modules: input decoder, output decoder, memory, core elevator and control unit module. The synthesize process, design optimation and implementation on chip done automatically using MAXplus+II software from Altera. The implementation of control system needs 494 logic elements and 128 flip-flops. Keywords : elevator, control system, FPGA, VHDL 1. Pendahuluan Pada perkembangan saat ini elevator memiliki sistem kontrol yang canggih. Fasilitas yang ada semakin kompleks dan sistem kontrolnya menjadi semakin rumit. Elevator saat ini sudah dilengkapi sistem kontrol yang menggunakan PLC, mikrokontroler maupun mikroprosesor. Sedangkan FPGA merupakan media alternatif yang dapat digunakan implementasi sistem kontrol elevator tersebut. Dalam penelitian ini dibuat prototipe rangkaian sistem kontrol elevator sederhana, yang rancangannya dibuat dengan deskripsi VHDL dan diimplementasikan pada FPGA Altera keluarga FLEX-10K seri EPF10K10. Perangkat lunak yang digunakan adalah MAXplus+II. Sistem kontrol elevator ini digunakan untuk mengendalikan elevator 12 lantai. 2. Tinjauan Pustaka 2.1. Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) adalah integrated circuit (IC) digital yang berisi sekumpulan blok-blok logika yang dapat dikonfigurasi. FPGA memiliki tiga sumber daya yaitu blok logika, blok I/O, dan blok interkoneksi, sebagaimana ditunjukkan pada Gambar 1 [3]. Blok-blok logika, I/O dan interkoneksi maupun hubungan antar blok dapat dikonfigurasi, sehingga FPGA dapat digunakan untuk berbagai macam aplikasi digital. Masing-masing blok logika dalam FPGA memiliki ciri mempunyai sejumlah kecil masukan dan keluaran. FPGA antara lain dapat digunakan sebagai Application Specific Integrated Circuit (ASIC), sebagai Digital Signal Processor, Embedded Microcontroller, serta dipakai pada layer fisik jaringan komunikasi dan lain sebagainya. 26

Gambar 1. Struktur umum FPGA [3]. Dalam penelitian ini digunakan FPGA dari Altera keluarga FLEX-10K, seri EPF10K10. Sedangkan untuk implementasi dan pengembangannya menggunakan Wizard FLEX-A01 Experiment Board. 2.2. VHDL VHDL atau VHSIC Hardware Description Language digunakan untuk mendeskripsikan sifat atau perilaku rangkaian atau sistem digital. VHSIC sendiri adalah singkatan dari Very High Speed Integrated Circuits. Versi pertamanya adalah VHDL-87, kemudian diperbaharui dan diberi nama VHDL-93. VHDL merupakan hardware description language pertama yang mendapat standarisasi dari Institute of Electrical and Electronic Engineers (IEEE) melalui IEEE 1076. Sebagai tambahan standar, IEEE 1164, kemudian ditambahkan untuk memperkenalkan sistem logika multi-valued. VHDL dimaksudkan untuk sintesis rangkaian sebagaimana halnya simulasi rangkaian. Bagaimanapun, walau VHDL dapat disimulasikan, tidak semua konstruksi dapat disintesis. Dua aplikasi utama VHDL adalah pada bidang Programmable Logic Device (CPLD dan FPGA) dan pada bidang ASIC. Sekali kode VHDL ditulis, kode tersebut dapat digunakan untuk implementasi rangkaian pada Programmable Device, baik itu dari Xilinx, Altera, Atmel dan lain-lain, serta digunakan dalam fabrikasi chip ASIC. Berbeda dengan program komputer biasa yang sekuensial, statement dalam VHDL adalah concurrent atau paralel. Karena alasan tersebut VHDL lebih sering disebut kode daripada program. Dalam VHDL hanya statement yang ditempatkan pada sebuah PROCESS, FUNCTION atau PROCEDURE yang dieksekusi secara sekuensial [2]. Dalam VHDL, sebuah rancangan terdiri atas minimum sebuah entitas (entity) yang mendeskripsikan antarmuka rancangan dan sebuah arsitektur (architecture) yang berisi implementasi sebenarnya. Kebanyakan rancangan menggunakan modul pustaka (library). Beberapa rancangan juga terdiri dari lebih dari satu arsitektur dan konfigurasi. 2.3. Sistem Kontrol Elevator Elevator adalah sebuah alat transportasi yang digunakan untuk memindahkan orang atau barang secara vertikal. Elevator sering juga disebut sebagai lift. Sebuah elevator terdiri atas: sangkar, lorong elevator, beban penyeimbang, peralatan penggantung, mesin pengangkat dan sistem kontrol. Tampak dari luar elevator adalah sistem yang sederhana, 27

tetapi secara mekanik, kelistrikan dan sistem mikro-elektroniknya sangatlah komplek dan rumit. Pada setiap sistem yang terdiri atas beberapa sub-sistem, sistem kontrol untuk mengendalikan beberapa sub-sistem tersebut sangatlah penting. Sistem kontrol haruslah memiliki kecepatan dan tingkat akurasi yang tinggi agar kinerja sistem secara menyeluruh akan baik dan handal. Apalagi pada elevator yang berhubungan dengan keselamatan dan kenyamanan penumpang, sistem kontrol haruslah yang terbaik [5]. 2.4. Perancangan Sistem Kontrol Elevator Sistem kontrol elevator yang dibuat dapat mengendalikan 12 lantai. Masukan kontrol berupa sinyal yang mewakili posisi tiap lantai dan sinyal keluaran berupa lantai tujuan. Sistem kontrol bekerja sebagai berikut: elevator akan bergerak pada satu arah selama masih ada permintaan lantai pada arah yang sama dan jika tidak ada permintaan lantai pada arah yang sama, elevator akan berhenti dan menjadi idle, atau berubah arah jika ada permintaan lantai dengan arah yang berlawanan. Secara umum arsitektur VHDL dari sistem kontrol elevator mengarah pada penggunaan sistem memori. Masukan dari luar sistem akan diidentifikasikan sebagai masukan yang mengisi alamat memori dengan sinyal bit satu dan kemudian akan diakses oleh sistem kontrol. Untuk memudahkan perancangan sistem kontrol dibagi dalam beberapa blok, sebagaimana ditunjukkan pada Gambar 2, yang komunikasi antar blok-nya digunakan beberapa sinyal. Gambar 2. Blok modul sistem dan sinyal Sistem kontrol elevator terdiri atas modul dekoder masukan, memori, unit kontrol, inti elevator dan modul dekoder keluaran. Komponen yang paling penting dari rancangan tersebut adalah modul utama, kontrol modul dan modul memori. Untuk modul yang lain, rancangannya mengikuti ketiga modul tersebut dan arsitekturnya seperti dekoder pada umumnya. Sedangkan untuk modul utama, kontrol modul dan modul memori memiliki arsitektur tersendiri. Modul dekoder masukan digunakan untuk mengubah masukan sistem yang berupa data 4 bit biner menjadi data biner 24 bit yang merupakan masukan bagi 24 alamat yang ada pada Modul memori. Masukan sistem kontrol elevator berasal dari dua tempat yaitu di dalam dan di luar sangkar. Modul dekoder keluaran digunakan untuk mengubah data keluaran dari modul inti elevator yang berupa sinyal kontrol yang terdiri atas sinyal index, down dan up. Data yang dikeluarkan berupa data empat bit yang menunjukkan keadaan lantai dimana elevator berada. 28

Modul memori digunakan untuk menyimpan data masukan sistem yang kemudian akan dibaca oleh modul inti elevator. Modul memori oleh modul inti dikenai dua proses yaitu membaca dan menghapus masukan setelah masukan tersebut selesai diproses, sehingga dibutuhkan komponen untuk mengontrol pembacaan dan penghapusan isi alamat memori. Fungsi tersebut diberikan pada modul kontrol register. Untuk memudahkan dalam perancangan modul memori hanya mengatur tiga lantai saja, sehingga untuk mendapatkan memori 12 lantai, dibutuhkan empat buah modul memori tiga lantai yang dirancang secara struktural. Modul memori tiga lantai berfungsi untuk menyimpan data masukan untuk permintaan tiga buah lantai. Data yang tersimpan pada memori akan dibaca dan kemudian dihapus oleh modul inti. Modul memori tiga lantai membutuhkan delapan buah alamat memori dari nol hingga tujuh. Alamat nol digunakan untuk menggabungkannya dengan modul dibawahnya dan alamat memori empat untuk modul berikutnya, sedangkan sisanya untuk masing-masing permintaan lantai. Alamat satu sampai tiga untuk perintah naik dan alamat lima hingga tujuh untuk perintah turun. Sebagaimana ditunjukkan pada Tabel 1. Tabel 1. Memori untuk 3 lantai (a) (b) 3 2 1 4 3 7 2 6 1 5 0 Jumlah lantai yang dibuat merupakan kelipatan bilangan tiga, karena modul inti elevator dan modul memori yang dibuat adalah untuk tiap tiga lantai. Untuk mendapatkan memori untuk 12 lantai, dibutuhkan empat buah modul memori tiga lantai yang disusun secara struktural dan setiap modul memiliki delapan alamat memori. Enam alamat memori menunjukkan keadaan lantai dan satu alamat memori menunjukkan isi memori dari modul memori di atasnya serta satu alamat memori menunjukkan isi memori dari modul dibawahnya, begitu seterusnya setiap modul sampai tersusun empat modul memori yang memiliki total 32 alamat memori (8 alamat x 4 modul). Modul inti elevator merupakan modul utama dalam sistem ini. Modul ini berfungsi membaca masukan permintaan lantai yang ada di modul memori dan mengerjakan masukan permintaan tersebut melalui proses aksi berupa mesin keadaan dan setelah selesai dikerjakan, modul ini akan memberikan sinyal reset untuk modul memori. Modul inti elevator terdiri atas komponen inti proses dan kontrol proses. Komponen inti proses berfungsi sebagai modul utama yang mengakses masukan sistem yang ada pada modul memori untuk mengatur pergerakan elevator dan menghapus isi alamat memori setelah selesai dibaca. Komponen ini merupakan mesin keadaan dengan mode Mealy, yang berarti keluaran ditentukan oleh masukan sekarang dan keluaran sebelumnya. Mesin keadaan terdiri atas tujuh state / keadaan, sebagaimana ditunjukkan pada Gambar 3. 29

Gambar 3. Diagram mesin keadaan komponen inti proses Saat perubahan dari staten ke state0 terjadi proses aksi adanya masukan dari memori. Perubahan dari staten ke state0 menghasilkan sinyal kontrol (index, down dan up), sinyal reset dan posisi elevator sekarang. Keluaran sinyal posisi elevator inilah yang menentukan perubahan state0 ke staten, dimana tiap masukan pada perubahan dari staten ke state0 menghasilkan posisi elevator yang berbeda. State0 dibutuhkan untuk membedakan waktu terjadinya proses baca dan proses hapus yang tidak boleh bersamaan, agar proses aksi akan merespon data yang valid. Komponen kontrol proses digunakan untuk mengatur modul inti elevator dalam menjalankan proses membaca masukan, proses aksi berupa mesin keadaan dan proses memberi sinyal reset. Modul unit kontrol digunakan untuk memilih bagian mana dari blok alamat memori yang dapat diakses oleh modul inti elevator. Unit kontrol strukturnya berupa selektor atau pemilih, sedangkan modul inti elevator akan mengirim sinyal kontrol sebagai selektor bagi multiplekser untuk memilih blok mana yang akan digunakan oleh modul inti, baik proses pembacaan masukan ataupun penghapusan isi memori. Blok diagram dari unit kontrol ditunjukkan pada Gambar 4. Gambar 4. Blok modul unit kontrol 30

Semua entity modul perancangan digabung dalam sebuah paket (package), sehingga saat akan digunakan tidak perlu dibuat lagi, tinggal dipanggil package yang telah dibuat sebelumnya. Tiap modul digabungkan secara struktural menggunakan deskripsi VHDL, dengan susunan sebagaimana ditunjukkan pada Gambar 2. 3. Hasil dan Pembahasan Perangkat lunak Max+plus II digunakan sebagai kompailer dan simulator [1]. Seluruh modul sistem kontrol elevator dikompail dan disimulasikan terlebih dahulu. Setelah simulasi berjalan sesuai hasil yang diharapkan, rancangan sistem kontrol dalam bentuk arsitektur VHDL [2] [3] [5] tersebut diimplementasikan pada FPGA [4]. Selain modul-modul yang ditunjukkan pada Gambar 2, implementasi pada papan pengembang FPGA harus ditambahi dengan modul untuk membagi detak internal FPGA, modul tombol matriks sebagai masukan posisi lantai dan modul dekoder tujuh segmen untuk keluaran sistem. Optimasi kecepatan yang dilakukan mengakibatkan periode detak dan tundaan rambatan akan lebih kecil atau lebih cepat dari sebelumnya. Pada Tabel 2 ditunjukkan hasil analisis pewaktuan tiap modul dan juga sistem kontrol elevator setelah mendapat optimasi, data diperoleh dari hasil simulasi timing analyzer. Terlihat bahwa sistem kontrol elevator memiliki periode detak sebesar 68,4 ns dan memiliki frekuensi 14,61 Mhz. Sedangkan tundaan antara masukan dan keluaran sebesar 17,2 ns. Tundaan tersebut merupakan tundaan terpanjang dari rangkaian. Kesulitan dari pembuatan sistem kontrol elevator ini terletak pada bagian penggunaan detak terutama untuk modul-modul yang sekuensial. Detak yang dipilih antara modul utama, kontrol modul dan modul memori haruslah tepat agar data yang dikeluarkan merupakan data valid untuk waktu itu. Modul utama dan modul memori harus pada detak yang sama yaitu data keluar saat detak naik dan kontrol modul sebaliknya yaitu data keluar pada saat detak turun. Selain itu juga panjangnya detak perlu diperhatikan karena tundaan tiap modul akan berbeda untuk tiap masukan yang berbeda. Tabel 2. Hasil analisis pewaktuan Modul Periode Frekuensi detak(ns) (MHz) Tundaan rambatan(ns) Dekoder masukan 8,8 113,63 14,2 Memori 8,0 125,00 20,8 Unit kontrol 8,0 125,00 19,6 Inti elevator 30,6 32,67 13,9 Dekoder keluaran 36,0 26,31 13,2 Siatem kontrol 68,4 14,61 17,2 elevator Implementasi 72,2 13,85 27,5 Masukan menggunakan tombol matriks dan tombol geser, Sedangkan keluaran menggunakan tampilan tujuh segmen dan LED. Sistem kontrol elevator ini, sebagaimana ditunjukkan pada Tabel 3, membutuhkan 494 logik elemen atau 89 % dari logik elemen yang tersedia pada perangkat FPGA Altera FLEX-10K [3]. Jenis rangkaian juga mempengaruhi kebutuhan akan sumber daya, rangkaian multiplekser yang terdapat pada unit kontrol dalam kondisi setelah dioptimasi atau belum hasilnya sama. Arsitektur dengan struktural ataupun behavioral juga sama. Hal ini karena arsitektur elemen logika pada FPGA salah satunya adalah multiplekser. Pada modul-modul dekoder termasuk rancangan yang membutuhkan banyak sumber daya walaupun lebar 31

datanya sedikit, hal ini karena perancangan dekoder dalam FPGA menggunakan multiplekser, sehingga membutuhkan elemen logika yang lebih banyak. Total untuk perancangan sistem kontrol elevator setelah dilakukan optimasi membutuhkan 395 elemen logika dan 128 buah flip-flop. Tabel 3. Sumber daya FPGA yang digunakan Modul Logik Elemen/FF Dekoder masukan 75/24 Memori 36/24 Unit kontrol 52/2 Inti elevator 156/38 Dekoder keluaran 76/8 Sistem kontrol elevator 395/128 Implementasi 494/170 Sistem kontrol ini masih memiliki kelemahan yaitu hanya mampu mengerjakan satu instruksi masukan pada saat bersamaan dan ketika mendapat lebih dari satu masukan maka masukan-masukan tersebut akan dikerjakan secara sekuensial. 4. Kesimpulan Rangkaian prototipe sistem kontrol elevator dan rangkaian digital ini telah diimplementasikan dalam sebuah FPGA Altera keluarga FLEX-10K seri EPF10K10. Hasil implementasi rangkaian sistem kontrol elevator pada FPGA membutuhkan 494 logik elemen atau 89 % dari kapasitas logik elemen FPGA Altera FLEX-10K. Daftar Pustaka: [1] Altera, 1997, MAXplus+II Getting Started, Altera Corp., U.S [2] Ashenden, Peter, 1990, The VHDL Cookbook, Dept. Computer Science University of Adelaide, South Australia. [3] Chen, Sze-Tang, 1998, Altera Training Manual - For PC Users, Altera Corporation, San Jose. [4] Hwang, Enoch O., 2004, Microprocessor Design Principles and Practice with VHDL, Brook, Cole. [5] Strakosch, George, 1983, Vertical Transportation : Elevator and Escalator, John Wiley & Sons Inc, Canada. 32