GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

dokumen-dokumen yang mirip
MULTIPLEKSER BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

DEKODER BINER KE DESIMAL BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD)

MODUL 3 GERBANG LOGIKA DASAR

RANGKAIAN LOGIKA DISKRIT

BAB II SIMULATOR XILINX PADA RANGKAIAN DIGITAL SEDERHANA

BAB 1. Pendahuluan. diprogram secara digital ditemukan seperti IC sederhana seperti General Array

Percobaan 1. Membangun Gerbang Logika Dasar dengan Transistor CMOS

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL Gerbang Logika Dasar, Universal NAND dan Semester 3

GERBANG LOGIKA DIGITAL

MODUL TRAINING PRAKTIKUM MENGGUNAKAN FPGA

Pintu Portal Otomatis Berbasis Teknologi Programmable Logic Device (PLD) Automatic Portal Base on Programmable Logic Device (PLD) Technology

PERANCANGAN SISTEM DIGITAL DENGAN ALTERA UP 2 CPLD BOARDS dan VHDL (Very high speed integrated circuits Hardware Description Language)

Pengenalan VHDL. [Pengenalan VHDL]

BAB 1 PENDAHULUAN. dengan teknologi digital, maka perangkat tersebut memiliki sebuah integrated

GERBANG UNIVERSAL. I. Tujuan : I.1 Merangkai NAND Gate sebagai Universal Gate I.2 Membuktikan table kebenaran

Perancangan Aritmetic Logic Unit (ALU) pada FPGA

Percobaan 2. Membangun Logika Kombinasi dengan Transistor CMOS

GERBANG LOGIKA DASAR

MODUL TRAINER DIGITAL YANG DIGUNAKAN SEBAGAI MODUL PEMBELAJARAN PENDIDIKAN VOKASI BIDANG ELEKTRONIKA

QUARTUS DAN CARA PENGGUNAANNYA

FAKULTAS TEKNIK UNIVERSITAS NEGERI YOGYAKARTA LAB SHEET PRAKTIK TEKNIK DIGITAL

TUTORIAL. Tabel Kebenaran Full Adder : Cin B A Sum Cout

Kuliah#7 TSK205 Sistem Digital - TA 2011/2012. Eko Didik Widianto

Gerbang logika ini akan dijelaskan lebih detil pada bagian 4. AND A B Y OR Y A B Y NOT AND NOT

LAB #1 DASAR RANGKAIAN DIGITAL

LAB #3 PENGENALAN VHDL DAN PEMROGRAMAN IC GAL MENGGUNAKAN ALL-11 UNIVERSAL PROGRAMMER

Laboratorium Dasar Teknik Elektro - Sekolah Teknik Elektro dan Informatika ITB

BAB I PENDAHULUAN. Gambar 1.1 : Xilinx Foundation Series

I. Pendahuluan. II. Tujuan. III. Gambaran Disain. MODUL 3 Stopwatch

BAB I PENDAHULUAN 1.1. Latar Belakang

DASAR-DASAR RANGKAIAN SEKUENSIAL 2

SIMULASI RANGKAIAN DIGITAL MESIN PENJUAL KOPI DENGAN XILINX

LAPORAN PRAKTIKUM GERBANG LOGIKA (AND, OR, NAND, NOR)

MATERI PELATIHAN VHDL UNTUK SINTESIS

BAB II LANDASAN TEORI

Gerbang Logika Dasar I

PROGRAMMABLE LOGIC DEVICES

BAB I PENDAHULUAN BAB I PENDAHULUAN. 1.1 Latar Belakang

BAB 3. Perancangan Sistem

Percobaan IV PENGENALAN VHDL

RANCANG BANGUN ALAT PENGAMANAN PERLINTASAN KERETA API MENGGUNAKAN SENSOR INFRAMERAH

BAB I PENDAHULUAN. elektronika digital. Kita perlu mempelajarinya karena banyak logika-logika yang

MODUL I GERBANG LOGIKA

DASAR TEKNIK DIGITAL (1) GERBANG-GERBANG LOGIKA DASAR

PLA & PLD Programmable Logic Array Programmable Logic Device

MODUL I TEGANGAN KERJA DAN LOGIKA

Papan Pergantian Pemain Sepak Bola Berbasis Digital Menggunakan IC4072 dan IC7447

AUDIO/VIDEO SELECTOR 5 CHANNEL DENGAN MIKROKONTROLER AT89C2051

Sintesis dan Penyederhanaan Fungsi Logika dengan Peta Karnaugh

MODUL TEKNIK DIGITAL MODUL III GERBANG LOGIKA

III. METODE PENELITIAN. : Laboratorium Teknik Kendali Jurusan Teknik Elektro. Universitas Lampung

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

BAHASA PEMROGRAMAN VHDL

MODUL II GATE GATE LOGIKA

BAB I PENDAHULUAN. komponen yang dapat menghitung, mengingat dan mengambil pilihan. dapat digantikan dengan sebuah mikrokontroler.

BAB V GERBANG LOGIKA DAN ALJABAR BOOLE

GERBANG GERBANG LOGIKA

Pengenalan FPGA oleh Iman Taufik Akbar

EMULASI GERBANG LOGIKA TUNGGAL MULTIFUNGSI MENGGUNAKAN MIKROPENGENDALI ATMEGA8A

IC (Integrated Circuits)

PERCOBAAN DIGITAL 01 GERBANG LOGIKA DAN RANGKAIAN LOGIKA

BAB I PENDAHULUAN 1.1 LATAR BELAKANG

Analisa Model Implementasi Field Programmable Gate Array (FPGA) dan Application Spesific Integrated Circuit (ASIC)

Teknik Digital. Disusun oleh: Tim dosen SLD Diedit ulang oleh: Endro Ariyanto. Prodi S1 Teknik Informatika Fakultas Informatika Universitas Telkom

PENDAHULUAN SISTEM DIGITAL

LAPORAN PRAKTIKUM RANGKAIAN LOGIKA (TEGANGAN KERJA DAN LOGIKA)

Laporan Praktikum. Gerbang Logika Dasar. Mata Kuliah Teknik Digital. Dosen pengampu : Pipit Utami

III. METODE PENELITIAN

untuk ASIC tinggi, algoritma harus diverifikasi dan dioptimalkan sebelum implementasi. Namun dengan berkembangnya teknologi VLSI, implementasi perangk

BAB I PENDAHULUAN 1.1 Latar Belakang

MK SISTEM DIGITAL SESI III GERBANG LOGIKA

BAB IV : RANGKAIAN LOGIKA

TEORI DASAR DIGITAL OTOMASI SISTEM PRODUKSI 1

Field Programmable Gate Array (FPGA) merupakan perangkat keras yang nantinya akan digunakan untuk mengimplementasikan perangkat lunak yang telah diran

Gambar 1.1 Logic diagram dan logic simbol IC 7476

BAB 1. KONSEP DASAR DIGITAL

2. GATE GATE LOGIKA. I. Tujuan 1. Menyelidiki operasi logika dari gate-gate logika 2. Membuktikan dan mengamati oiperasi logika dari gate-gate logika.

BAB 3 PERANCANGAN DAN PEMBUATAN SISTEM

MODUL DASAR TEKNIK DIGITAL

BAB 1 PENDAHULUAN. dengan penerapannya yang semakin luas pada alat-alat elektronik dari segi audio dan

BAB III GERBANG LOGIKA DAN ALJABAR BOOLEAN

PROYEK PERANCANGAN RANGKAIAN DIGITAL : THUNDERBURD TAIL LIGHTS. Mochammad Fadhli Zakiy, Rizki Satya Utami

BAB II ALJABAR BOOLEAN DAN GERBANG LOGIKA

Sejarah Mikrokontroler

BAB III PERANCANGAN DAN PEMODELAN

PERANCANGAN MODEL SISTEM PENGATURAN LALU-LINTAS KENDARAAN YANG AKAN MEMOTONG TITIK PUTAR-BALIK PADA JALUR BUSWAY

GERBANG LOGIKA. A. Tujuan Praktikum. B. Dasar Teori

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Prodi Sistem Komputer - Universitas Diponegoro.

KONTRAK PEMBELAJARAN (KP) MATA KULIAH

BAB 1 PENDAHULUAN. ribuan bahkan jutaan Transistor dalam suatu rangkaian elektronik, sehingga

Y Y A B. Gambar 1.1 Analogi dan simbol Gerbang NOR Tabel 1.1 tabel kebenaran Gerbang NOR A B YOR YNOR

PENGEMBANGAN MEDIA PEMBELAJARAN TRAINER

Pengantar Kuliah. TKC305 - Sistem Digital Lanjut. Eko Didik Widianto. Teknik Sistem Komputer - Universitas Diponegoro.

Teknologi Implementasi dan Metodologi Desain Sistem Digital

BAB III RANGKAIAN LOGIKA

PENGENALAN SISTEM MIKROPROSESOR. Judul Pokok Bahasan

Bidang Information Technology and Communication 336 PERANCANGAN DAN REALISASI AUTOMATIC TIME SWITCH BERBASIS REAL TIME CLOCK DS1307 UNTUK SAKLAR LAMPU

Rancang Bangun Kendali Digital Motor BLDC Untuk Mobil Listrik Universitas Jember

MAKALAH SYSTEM DIGITAL GERBANG LOGIKA DI SUSUN OLEH : AMRI NUR RAHIM / F ANISA PRATIWI / F JUPRI SALINDING / F

PERANCANGAN INVERTER SEBAGAI SWITCH MOS PADA IC DAC

Transkripsi:

GERBANG LOGIKA BERBASIS PROGRAMMABLE LOGIC DEVICE (PLD) Oleh: Muhammad Irmansyah Staf Pengajar Teknik Elektro Politeknik Negeri Padang ABSTRACT In middle 1990, electronics industry had evolution in personal Computer, telephone cellular and high speed data communication equipment. To follow this development, electronics companies have designed and produce new product. One of these innovations is Programmable Logic Devices (PLD) technology. It is a technology to change function of IC digital logic using programming. Many of Programmable Logic Device (PLD) can be used to programming logic using single chip of integrated circuit (IC). Programmable Logic Devices (PLD) technology is applied using IC PAL 22V10 to design basic logic gate AND, OR, NOT and combinational logic gate NAND and NOR. Keyword: Programmable Logic Devices (PLD), logic gate, IC PAL 22V10 PENDAHULUAN Pada pertengahan 1990, industri elektronika sangat mengalami perkembangan dalam personal computer, telepon seluler dan peralatan komunikasi data dengan kecepatan tinggi. Untuk persaingan pasar, produsen membuat produk dengan meningkatkan fungsi, penampilan, biaya rendah, konsumsi daya yang rendah dan ukuran yang kecil. Untuk memenuhi kebutuhan ini, produsen menciptakan sistem yang komplek dengan pemakain IC yang lebih sedikit dan ukuran PCB yang lebih kecil. Berbagai teknologi terpadu digunakan seperti proses submikron semikonduktor, teknologi PCB, dan pemaksimalan penggunaan permukaan PCB. Penunjang design digunakan oleh para designer berupa Electronic Design Automation (EDA) tools. Kondisi pasar ini membuat metodologi modern dalam design dan tes digunakan, antara lain Programmable Logic Device (PLD). Untuk mengikuti perkembangan ini, perusahaan elektronika telah mendesain dan membuat produk baru. Untuk memenuhi tuntutan ini, engineers dan teknisi berusaha untuk membuat penemuan yang membantu mereka membuat prototype rangkaian digital dan mengevaluasi kemajuannya dalam waktu yang lama. Salah satunya adalah teknologi Programmable Logic Devices (PLD) yang merupakan IC digital logic yang bisa dirubah fungsinya melalui pemrograman dan seperti diindustri, akan dapat dilihat bagaimana mudahnya membuat rangkaian digital menggunakan PLD. Berbagai Programmable Logic Device (PLD) dapat digunakan untuk logika pemrograman yang banyak dengan hanya menggunakan satu IC. Elektron: Vo. 1 No. 1, Edisi: Juni 2009 75

Keuntungannya adalah kefleksibelannya dalam penggunaan dengan skedul yang lebih ketat, untuk penggunaan pada produk dengan volume yang kecil dan untuk pengaktifan awal sebuah produk meskipun digunakan pada high volume. Perumusan Masalah Bagaimana merancang dan membuat gerbang logika berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10. Tujuan Merancang dan membuat gerbang logika berbasis Programmable Logic Device (PLD) menggunakan IC PAL 22V10. Batasan Masalah 1. Mengaplikasikan teknologi Programmable Logic Device (PLD) untuk gerbang logika gerbang AND, OR, NAND, NOR dan NOT. 2. Bahasa pemograman yang digunakan adalah Warp 4.2. Programmable Logic Device (PLD) Membuat rangkaian menggunakan PLD Single PLD terdiri dari gerbang logika dalam jumlah yang banyak, termasuk device penghubung dimana semua device ini berada dalam satu IC. Dengan menggunakan PC, logika program dapat dibuat dengan HDL menggunakan text editor atau gambar sederhana dari logika rangkaian dengan menggunakan schematic editor. HDL atau schematic selanjutnya decompile dengan program untuk membuat detail dari rangkaian logika yang akan menghasilkan output dari rancangan yang yang telah dibuat pada HDL atau skematik. Operasi dari rangkaian ini selanjutnya dapat disimulasikan untuk meyakinkan bahwa output sesuai dengan yang diinginkan. Jika simulasi rangkaian telah sesuai, maka desain selanjutnya didownload melalui parallel port ke PLD. Switch dihubungkan ke input dan LED ke output untuk mencoba test akhir pada prototype. 5 langkah yang dibutuhkan untuk membuat prototype menggunakan PLD: Step 1 :Buat rangkaian baru menggunakan schematic editor pada software Step 2 : Compile rangkaian menjadi bitstream file, ketika file ini diload ke CPLD akan menghasilkan output yang sesuai dengan skematik yang diberikan Step 3: Buktikan operasi dari rangkaian dengan menggunakan software fungtional dan timing simulator Step 4 : Download file rangkaian daei PC ke PLD Step 5 : Test PLD secara pisik dengan mengaktifkan input dan melihat output yang dihasilkan Gambar 1. Konstruksi rangkaian PLD Salah satu contoh IC yang menggunakan teknologi PLD adalah IC22V10. IC 22V10 terdiri dari 24 pin dengan pin 12 berfungsi sebagai ground dan pin 24 berfungsi sebagai catu daya 5 volt. Pin 1 berfungsi sebagai clock atau input dan pin 2 sampai 13 (kecuali pin 12) berfungsi sebagai input saja. Pin 14 sampai pin 23 berfungsi sebagai input atau output. Elektron: Vo. 1 No. 1, Edisi: Juni 2009 76

isyarat masukannya 1, maka sinyal keluarannya tetap 0. Dan bila kedua inputnya 0 maka outputnya akan 0. Symbol dari gerbang AND dinyatakan pada gambar dibawah ini: Gambar 2 Konfigurasi IC PAL 22V10 Gerbang Logika Gerbang logika adalah rangkaian dasar yang mebentuk computer. Jutaan transistor di dalam mikroprosesor membentuk ribuan gerbang logika. Sebuah gerbang logika sederhana mempunyai satu terminal input. Keluarannya dapat tinggi/high (1) atau rendah/low (0), tergantung level digital yang diberikan pada terminal input. Di sini kita akan membahas 5 gerbang logika dasar saja, diantaranya: AND, OR, NAND, NOR, Inverter (NOT). Gerbang OR Gerbang OR memiliki dua masukan input dan satu isyarat keluaran output. Jika salah satu atau kedua isyarat masukannya 1, maka sinyal keluarannya adalah 1. Jika kedua masukannya yaitu masukan A dan masukan B adalah 0 maka keluarannya 0. Simbol atau lambang dari gerbang logika OR dinyatakan pada gambar di bawah ini: Gerbang NAND Gerbang NAND merupakan gerbang logika kombinasi yang sama operasinya dengan gerbang dasar AND, tetapi pada bagian keluarannya dibalik dengan gerbang logika inverter (NOT). Gerbang logika yang kini sering dipakai berasal dari IC logika seperti 74xx atau 40xx. Satu IC yang berisi 4-8 gerbang loika kini hanya berharga beberapa ribu rupiah, namun IC yang dibuat dengan teknologi tinggi seperti prosesor Intel Pentium, memiliki berjuta-juta gegrbang logika, yang harga tiap gerbang logika menjadi hanya beberapa rupiah. Semua chip kompleks yang melakukan pemrosessan sinyal secara digital dapat dipastikan mengguanakan gerbang-gerbang logika pada detail desain rangkaiannya. Gerbang AND Gerbang AND memiliki dua masukan input yaitu input A dan input B. Operasi dari gerbang ini sederhana, yaitu output Y atau keluaran Y akan mejadi 1 jika kedua syarat inputnya dalam keadaan 1. Jika salah satu dari Gerbang NOR Gerbang logika NOR adalah sebuah gerbang logika kombinasional yang sama operasinya dengan gerbang logika dasar OR, tetapi bagian outputnya dibalik dengan gerbang inverter (NOT). Gerbang NOT Gerbang logika inverter yang sering disebut gerbang logika NOT adalah sebuah gerbang logika yang memiliki hanya satu input dan hanya satu output, fungsinya sebagai pembalik. Prinsip kerja dari gerbang logika inverter sangat sederhana, yaitu apapun keadaan isyarat yang diberikan pada bagian input akan dibalik oleh gerbang logika ini sehingga pada bagian outputnya akan menjadi berlawanan, atau keadannya terbalik. Elektron: Vo. 1 No. 1, Edisi: Juni 2009 77

Contohnya, jika pada inputnya keadaanya 1 maka keadaan keluarannya akan menjadi 0. Demikan juga jika pada bagian inputnya bernilai 0 maka keluarannya akan bernilai 1. Dengan kata lain, isyarat outputnya adalah komplemen dari isyarat outputnya. Perancangan Perangkat Keras Diagram Blok Sistem 5V switch input 2 a0 3 4 5 6 7 8 9 b0 a1 b1 a2 b2 a3 b3 10 a4 24 23 22 21 20 19 +5V q0 q1 q2 q4 q5 PC Input : - Switch Downloader PAL22V10 PLD Trainer Output : - Led Gambar 3. Diagram blok hardware 1. Personal Computer (PC), berfungsi sebagai tempat pembuatan program dengan menggunakan bahasa pemograman Warp 4.2 dan simulasi hasil pemograman. 2. Downloader, berfungsi untuk memindahkan data hasil pemograman dari personal computer (PC) ke IC PAL 22V10. 3. Input switchberfungsi sebagai input logic. 4. PAL 22V10, merupakan salah satu jenis Programable Logic Device (PLD) 5. Output led berfungsi sebagai indikator output. Gerbang logika 1. IC 7400 gerbang NAND 2 input 2. IC 7402 gerbang NOR 2 input 3. IC 7404 gerbang NOT 4. IC 7411 gerbang AND 2 input 5. IC 7421 gerbang OR 2 input 12 22V10 Gambar 4. Rangkaian IC PAL22V10 yang difungsikan sebagai gerbang logika Perancangan dan pembuatan software Perangkat software yang dirancang untuk sistim ini adalah perangkat lunak untuk menjalankan sistim trainer secara keseluruhan, software ini dirancang dengan menggunakan bahasa Warp 4.2. Gerbang Logika library ieee; use ieee.std_logic_1164.all; entity gate is port( a0,a1,a2,a3,a4 : in std_logic; b0,b1,b2,b3 : in std_logic; q0,q1,q3,q4 : out std_logic ); attribute part_name of gate :entity is 22V10 ; attribute pin_numbers of gate :entity is a0:2 b0:3 a1:4 b1:5 a2:6 b2:7 a3:8 b3:9 a4:1 q0:23 q1:22 q2:21 q3:20 q4:19 ; end gate; architecture behave of gate is begin q0 <= a0 and b0; q1 <= a1 or b1; q2 <= a2 nand b2; q3 <= a3 nor b3; q4 <= not a4; end behave; Hasil Simulasi Gerbang Logika Dasar Gerbang AND Elektron: Vo. 1 No. 1, Edisi: Juni 2009 78

Tabel 1 Hasil pengujian gerbang AND B0 A0 Q0 0 0 0 0 1 0 1 0 0 1 1 1 Gambar 5. Hasil simulasi gerbang AND Gerbang OR Tabel 2 Hasil pengujian gerbang OR B1 A1 Q1 0 0 0 0 1 1 1 0 1 1 1 1 Tabel 4 Hasil pengujian gerbang NAND B2 A2 Q2 0 0 1 0 1 1 1 0 1 1 1 0 Gerbang NOR Tabel 5 Hasil pengujian gerbang NOR B3 A3 Q3 0 0 1 0 1 0 1 0 0 1 1 0 Gambar 8. Hasil simulasi gerbang NOR AND OR NAND NOR Gambar 6. Hasil simulasi gerbang OR Gerbang NOT Tabel 3 Hasil pengujian gerbang NOT A4 Q4 0 1 1 0 Gambar 7. Hasil simulasi gerbang NOT q1 q2 q3 q4 INVERTER AND OR NAND NOR S S S S S B0 B1 B2 B3 S S S S A0 A2 A3 A1 ANALISA GERBANG LOGIKA DASAR Untuk percobaan test fisiknya, dipermudah dengan melihat konfigurasi pin sebagai berikut : Dari konfigurasi di atas dapat terlihat bahwa untuk masing-masing gerbang: HASIL SIMULASI GERBANG LOGIKA KOMBINASIONAL Gerbang NAND Gerbang AND Switch yang dipakai sebagai input adalah S6(A0) dan S2(B0), dan outputnya adalah Q1. Berdasarkan teori gerbang Elektron: Vo. 1 No. 1, Edisi: Juni 2009 79

AND, pada saat kedua input atau salah satunya yang diberikan bernilai rendah, maka keluarannya akan bernilai rendah. Dan hanya akan bernilai tinggi jika kedua inputnya bernilai tinggi. Hal ini telah dibuktikan pada simulasi dan test fisiknya. Untuk logikanya dapat dilihat pada table kebenaran dan penjelasan berikut: Q = A. B (dinotasikan dengan dan ) I(A0) = 0 I(B0) = 0 Maka O(Q1) = 0 I(A0) = 0 I(B0) = 1 Maka O(Q1) = 0 I(A0) = 1 I(B0) = 0 Maka O(Q1) = 0 I(A0) = 1 I(B0) = 1 Maka O(Q1) = 1 Gerbang OR Untuk gerbang OR, switch yang digunakan sebagai inputannya adalah S7(A1) dan S3(B1). Sedangkan untuk keluarannya adalah Q2. Terlihat bahwa teori yang digunakan sangat mendukung percobaan yang dilakukan pada test fisiknya. Bahwa pada teori untuk gerbang OR dapat dijelaskan, keluarannya akan bernilai 0 (low) jika kedua input yang diberikan bernilai 0 (low), dan berbeda dengan gerbang AND, gerbang OR akan bernilai 1 (high) jika salah satu atau kedua inputannya bernilai 1 (high). Q = A + B (dinotasikan dengan atau ) I(A1) = 0 I(B1) = 0 Maka O(Q2) = 0 I(A1) = 0 I(B1) = 1 Maka O(Q2) = 1 I(A1) = 1 I(B1) = 0 Maka O(Q2) = 1 I(A1) = 1 I(B1) = 1 Maka O(Q2) = 1 Gerbang NOT Gerbang NOT merupakan negasi atau kebalikan dari inputnya. Jika input yang diberikan bernilai 1 (high) maka keluarannya akan bernilai 0 (low). Logikanya dapat dinyatakan sebagai berikut: I(S) = 0 Maka O(Q) = 1 atau sebaliknya ANALISA GERBANG LOGIKA KOMBINASIONAL Gerbang NAND Gerbang NAND merupakan gerbang AND yang diinverterkan atau kebalikan dari gerbang AND, maksudnya pada saat kedua input atau salah satunya bernilai 0 (low) maka keluarannya akan bernilai 1 (high). Artinya keluarannya bernilai 0 (low) jika kedua inputannya bernilai 1 (high). Terlihat pada konfigurasi, switch yang digunakan untuk inputannya adalah S8(A2) dan S4(B2), sedangkan untuk keluarannya adalah Q3. Q = A. B I(A2) = 0 I(B2) = 0 Maka O(Q3) = 1 I(A2) = 0 I(B2) = 1 Maka O(Q3) = 1 I(A2) = 1 I(B2) = 0 Maka O(Q3) = 1 I(A2) = 1 I(B2) = 1 Maka O(Q3) = 0 Gerbang NOR Gerbang NOR merupakan kebalikan dari gerbang NAND, dimana keluarannya akan bernilai 0 (low) jika salah satu atau kedua input yang diberikan Elektron: Vo. 1 No. 1, Edisi: Juni 2009 80

bernilai 1 (high). Switch yang digunakan sebagai Input adalah S9(A3) dan S5(B3) dan outputnya adalah Q4. Q = A + B I(A2) = 0 I(B2) = 0 Maka O(Q3) = 1 I(A2) = 0 I(B2) = 1 Maka O(Q3) = 0 I(A2) = 1 I(B2) = 0 Maka O(Q3) = 0 I(A2) = 1 I(B2) = 1 Maka O(Q3) = 0 KESIMPULAN Dengan menggunakan IC PAL 22V10 kita dapat mengaplikasikan teknologi Programmable Logic Device (PLD) untuk gerbang logika dasar AND, OR, NOT dan gerbang logika kombinasional NAND, NOR. DAFTAR PUSTAKA Brown, Stephen., 2000. Digital Logic of Fundamentals with VHDL Design, Singapore:MC.Graw-Hill Cook, Nigel P., 2004 Practical Digital Electronics, Prentice Hall Skahill, Kevin., 1997, VHDL for Programmable Logic, USA: Addison Wesley Weste, Neil H.E., 2005.CMOS VLSI Design, USA: Addison Wesley Elektron: Vo. 1 No. 1, Edisi: Juni 2009 81